OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [rtl/] [verilog/] [i2c_master_top.v] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 rherveille
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3 27 rherveille
////  WISHBONE revB.2 compliant I2C Master controller Top-level  ////
4 14 rherveille
////                                                             ////
5
////                                                             ////
6
////  Author: Richard Herveille                                  ////
7
////          richard@asics.ws                                   ////
8
////          www.asics.ws                                       ////
9
////                                                             ////
10
////  Downloaded from: http://www.opencores.org/projects/i2c/    ////
11
////                                                             ////
12
/////////////////////////////////////////////////////////////////////
13
////                                                             ////
14
//// Copyright (C) 2001 Richard Herveille                        ////
15
////                    richard@asics.ws                         ////
16
////                                                             ////
17
//// This source file may be used and distributed without        ////
18
//// restriction provided that this copyright statement is not   ////
19
//// removed from the file and that any derivative work contains ////
20
//// the original copyright notice and the associated disclaimer.////
21
////                                                             ////
22
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
23
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
24
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
25
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
26
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
27
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
28
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
29
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
30
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
31
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
32
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
33
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
34
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
35
////                                                             ////
36
/////////////////////////////////////////////////////////////////////
37
 
38
//  CVS Log
39 10 rherveille
//
40 33 rherveille
//  $Id: i2c_master_top.v,v 1.9 2003-01-09 16:44:45 rherveille Exp $
41 10 rherveille
//
42 33 rherveille
//  $Date: 2003-01-09 16:44:45 $
43
//  $Revision: 1.9 $
44 14 rherveille
//  $Author: rherveille $
45
//  $Locker:  $
46
//  $State: Exp $
47 10 rherveille
//
48 14 rherveille
// Change History:
49
//               $Log: not supported by cvs2svn $
50 33 rherveille
//               Revision 1.8  2002/12/26 16:05:12  rherveille
51
//               Small code simplifications
52
//
53 30 rherveille
//               Revision 1.7  2002/12/26 15:02:32  rherveille
54
//               Core is now a Multimaster I2C controller
55
//
56 29 rherveille
//               Revision 1.6  2002/11/30 22:24:40  rherveille
57
//               Cleaned up code
58
//
59 27 rherveille
//               Revision 1.5  2001/11/10 10:52:55  rherveille
60
//               Changed PRER reset value from 0x0000 to 0xffff, conform specs.
61
//
62 10 rherveille
 
63 27 rherveille
// synopsys translate_off
64 10 rherveille
`include "timescale.v"
65 27 rherveille
// synopsys translate_on
66
 
67 10 rherveille
`include "i2c_master_defines.v"
68
 
69
module i2c_master_top(
70 29 rherveille
        wb_clk_i, wb_rst_i, arst_i, wb_adr_i, wb_dat_i, wb_dat_o,
71 10 rherveille
        wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_inta_o,
72
        scl_pad_i, scl_pad_o, scl_padoen_o, sda_pad_i, sda_pad_o, sda_padoen_o );
73
 
74 11 rherveille
        // parameters
75
        parameter ARST_LVL = 1'b0; // asynchronous reset level
76
 
77 10 rherveille
        //
78
        // inputs & outputs
79
        //
80
 
81
        // wishbone signals
82
        input        wb_clk_i;     // master clock input
83
        input        wb_rst_i;     // synchronous active high reset
84
        input        arst_i;       // asynchronous reset
85
        input  [2:0] wb_adr_i;     // lower address bits
86
        input  [7:0] wb_dat_i;     // databus input
87
        output [7:0] wb_dat_o;     // databus output
88
        input        wb_we_i;      // write enable input
89
        input        wb_stb_i;     // stobe/core select signal
90
        input        wb_cyc_i;     // valid bus cycle input
91
        output       wb_ack_o;     // bus cycle acknowledge output
92
        output       wb_inta_o;    // interrupt request signal output
93 27 rherveille
 
94
        reg [7:0] wb_dat_o;
95
        reg wb_ack_o;
96 10 rherveille
        reg wb_inta_o;
97
 
98
        // I2C signals
99
        // i2c clock line
100
        input  scl_pad_i;       // SCL-line input
101
        output scl_pad_o;       // SCL-line output (always 1'b0)
102
        output scl_padoen_o;    // SCL-line output enable (active low)
103 27 rherveille
 
104 10 rherveille
        // i2c data line
105
        input  sda_pad_i;       // SDA-line input
106
        output sda_pad_o;       // SDA-line output (always 1'b0)
107
        output sda_padoen_o;    // SDA-line output enable (active low)
108
 
109
 
110
        //
111
        // variable declarations
112
        //
113
 
114
        // registers
115
        reg  [15:0] prer; // clock prescale register
116
        reg  [ 7:0] ctr;  // control register
117
        reg  [ 7:0] txr;  // transmit register
118
        wire [ 7:0] rxr;  // receive register
119
        reg  [ 7:0] cr;   // command register
120
        wire [ 7:0] sr;   // status register
121
 
122
        // done signal: command completed, clear command register
123
        wire done;
124
 
125
        // core enable signal
126
        wire core_en;
127 13 rherveille
        wire ien;
128 10 rherveille
 
129
        // status register signals
130
        wire irxack;
131
        reg  rxack;       // received aknowledge from slave
132
        reg  tip;         // transfer in progress
133
        reg  irq_flag;    // interrupt pending flag
134
        wire i2c_busy;    // bus busy (start signal detected)
135 29 rherveille
        wire i2c_al;      // i2c bus arbitration lost
136
        reg  al;          // status register arbitration lost bit
137 10 rherveille
 
138
        //
139
        // module body
140
        //
141
 
142
        // generate internal reset
143 11 rherveille
        wire rst_i = arst_i ^ ARST_LVL;
144 27 rherveille
 
145 29 rherveille
        // generate wishbone signals
146
        wire wb_wacc = wb_cyc_i & wb_stb_i & wb_we_i;
147
 
148 10 rherveille
        // generate acknowledge output signal
149 27 rherveille
        always @(posedge wb_clk_i)
150
          wb_ack_o <= #1 wb_cyc_i & wb_stb_i & ~wb_ack_o; // because timing is always honored
151 10 rherveille
 
152
        // assign DAT_O
153 27 rherveille
        always @(posedge wb_clk_i)
154 10 rherveille
        begin
155 27 rherveille
          case (wb_adr_i) // synopsis full_case parallel_case
156
            3'b000: wb_dat_o = prer[ 7:0];
157
            3'b001: wb_dat_o = prer[15:8];
158
            3'b010: wb_dat_o = ctr;
159
            3'b011: wb_dat_o = rxr; // write is transmit register (txr)
160
            3'b100: wb_dat_o = sr;  // write is command register (cr)
161
            3'b101: wb_dat_o = txr;
162
            3'b110: wb_dat_o = cr;
163
            3'b111: wb_dat_o = 0;   // reserved
164
          endcase
165 10 rherveille
        end
166
 
167
        // generate registers
168 27 rherveille
        always @(posedge wb_clk_i or negedge rst_i)
169
          if (!rst_i)
170
            begin
171
                prer <= #1 16'hffff;
172
                ctr  <= #1  8'h0;
173
                txr  <= #1  8'h0;
174
            end
175
          else if (wb_rst_i)
176
            begin
177
                prer <= #1 16'hffff;
178
                ctr  <= #1  8'h0;
179
                txr  <= #1  8'h0;
180
            end
181
          else
182 29 rherveille
            if (wb_wacc)
183
              case (wb_adr_i) // synopsis full_case parallel_case
184
                 3'b000 : prer [ 7:0] <= #1 wb_dat_i;
185
                 3'b001 : prer [15:8] <= #1 wb_dat_i;
186
                 3'b010 : ctr         <= #1 wb_dat_i;
187
                 3'b011 : txr         <= #1 wb_dat_i;
188
              endcase
189 10 rherveille
 
190 29 rherveille
        // generate command register (special case)
191
        always @(posedge wb_clk_i or negedge rst_i)
192
          if (~rst_i)
193
            cr <= #1 8'h0;
194
          else if (wb_rst_i)
195
            cr <= #1 8'h0;
196
          else if (wb_wacc)
197
            begin
198
                if (core_en & (wb_adr_i == 3'b100) )
199
                  cr <= #1 wb_dat_i;
200
            end
201
          else
202
            begin
203
                if (done | i2c_al)
204
                  cr[7:4] <= #1 4'h0;           // clear command bits when done
205
                                                // or when aribitration lost
206
                cr[2:1] <= #1 2'b0;             // reserved bits
207 30 rherveille
                cr[0]   <= #1 2'b0;             // clear IRQ_ACK bit
208 29 rherveille
            end
209 10 rherveille
 
210
 
211
        // decode command register
212
        wire sta  = cr[7];
213
        wire sto  = cr[6];
214
        wire rd   = cr[5];
215
        wire wr   = cr[4];
216
        wire ack  = cr[3];
217
        wire iack = cr[0];
218
 
219
        // decode control register
220
        assign core_en = ctr[7];
221 13 rherveille
        assign ien = ctr[6];
222 10 rherveille
 
223
        // hookup byte controller block
224
        i2c_master_byte_ctrl byte_controller (
225 27 rherveille
                .clk      ( wb_clk_i     ),
226
                .rst      ( wb_rst_i     ),
227
                .nReset   ( rst_i        ),
228
                .ena      ( core_en      ),
229
                .clk_cnt  ( prer         ),
230
                .start    ( sta          ),
231
                .stop     ( sto          ),
232
                .read     ( rd           ),
233
                .write    ( wr           ),
234
                .ack_in   ( ack          ),
235
                .din      ( txr          ),
236
                .cmd_ack  ( done         ),
237
                .ack_out  ( irxack       ),
238
                .dout     ( rxr          ),
239
                .i2c_busy ( i2c_busy     ),
240 29 rherveille
                .i2c_al   ( i2c_al       ),
241 27 rherveille
                .scl_i    ( scl_pad_i    ),
242
                .scl_o    ( scl_pad_o    ),
243
                .scl_oen  ( scl_padoen_o ),
244
                .sda_i    ( sda_pad_i    ),
245
                .sda_o    ( sda_pad_o    ),
246
                .sda_oen  ( sda_padoen_o )
247 10 rherveille
        );
248
 
249
        // status register block + interrupt request signal
250 27 rherveille
        always @(posedge wb_clk_i or negedge rst_i)
251
          if (!rst_i)
252
            begin
253 29 rherveille
                al       <= #1 1'b0;
254 27 rherveille
                rxack    <= #1 1'b0;
255
                tip      <= #1 1'b0;
256
                irq_flag <= #1 1'b0;
257
            end
258
          else if (wb_rst_i)
259
            begin
260 29 rherveille
                al       <= #1 1'b0;
261 27 rherveille
                rxack    <= #1 1'b0;
262
                tip      <= #1 1'b0;
263
                irq_flag <= #1 1'b0;
264
            end
265
          else
266
            begin
267 29 rherveille
                al       <= #1 i2c_al | (al & ~sta);
268 27 rherveille
                rxack    <= #1 irxack;
269
                tip      <= #1 (rd | wr);
270 29 rherveille
                irq_flag <= #1 (done | i2c_al | irq_flag) & ~iack; // interrupt request flag is always generated
271 27 rherveille
            end
272 10 rherveille
 
273 27 rherveille
        // generate interrupt request signals
274
        always @(posedge wb_clk_i or negedge rst_i)
275
          if (!rst_i)
276
            wb_inta_o <= #1 1'b0;
277
          else if (wb_rst_i)
278
            wb_inta_o <= #1 1'b0;
279
          else
280
            wb_inta_o <= #1 irq_flag && ien; // interrupt signal is only generated when IEN (interrupt enable bit is set)
281 10 rherveille
 
282 27 rherveille
        // assign status register bits
283
        assign sr[7]   = rxack;
284
        assign sr[6]   = i2c_busy;
285 29 rherveille
        assign sr[5]   = al;
286
        assign sr[4:2] = 3'h0; // reserved
287 27 rherveille
        assign sr[1]   = tip;
288
        assign sr[0]   = irq_flag;
289 10 rherveille
 
290
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.