OpenCores
URL https://opencores.org/ocsvn/integer_square_root/integer_square_root/trunk

Subversion Repositories integer_square_root

[/] [integer_square_root/] [tags/] [v2.0/] [README.md] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ayka
# Integer Square Root
2
 
3
## Algorithm
4
 
5
```
6
procedure ISR(value)
7
        for i<-31 to 0 do
8
                proposed_solution[i]<-1
9
                if proposed_solution^2 > value then
10
                        proposed_solution[i]<-0
11
                end if
12
        end for
13
end procedure
14
```
15
 
16
## Specification
17
 
18
- If reset is asserted during a rising clock edge (synchronous reset), the value signal is to be stored.
19
- If reset is asserted part way through a computation, the result of that computation is discarded and a new value is latched into the module.
20
- When the module has finished computing the answer, the output is placed on the result line and done line is raised on the same cycle.
21
- It must not take more than 600 clock cycles to compute a result (from the last
22
  clock that reset is asserted to the first clock that done is asserted.)
23
 
24
## ISR State Machine
25
 
26
Computing: $\sqrt{\mathtt{value}}$
27
 
28
- On a reset
29
  - guess initialized to `32'h8000_0000`
30
  - `value` is clocked into a register
31
 
32
- guess gets the next bit set each time we cycle through the FSM again
33
 
34
- Square `guess` (multiply it with itself)
35
 
36
  - Wait until the multiplier raises its done
37
 
38
- if `guess` <= `value`
39
 
40
  - Keep the current bit
41
 
42
- else
43
 
44
  - Clear the current bit
45
 
46
- Move to the next bit
47
 
48
- After the last bit, raise `done`

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.