OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_altera_de1/] [index_table.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 microengin
-- IOTA Pearl Diver VHDL Port
2
--
3 7 microengin
-- 2018 by Thomas Pototschnig <microengineer18@gmail.com,
4
-- http://microengineer.eu
5
-- discord: pmaxuw#8292
6 2 microengin
--
7 7 microengin
-- Permission is hereby granted, free of charge, to any person obtaining
8
-- a copy of this software and associated documentation files (the
9
-- "Software"), to deal in the Software without restriction, including
10
-- without limitation the rights to use, copy, modify, merge, publish,
11
-- distribute, sublicense, and/or sell copies of the Software, and to
12
-- permit persons to whom the Software is furnished to do so, subject to
13
-- the following conditions:
14 2 microengin
-- 
15 7 microengin
-- The above copyright notice and this permission notice shall be
16
-- included in all copies or substantial portions of the Software.
17 2 microengin
-- 
18 7 microengin
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
19
-- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
20
-- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
21
-- NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE
22
-- LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION
23
-- OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION
24
-- WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWAR
25 2 microengin
library ieee;
26
 
27
use ieee.std_logic_1164.all;
28
use ieee.numeric_std.all;
29
 
30
package index_table is
31
 
32
type const_index_table is array ( 0 to 729) of integer range 0 to 728;
33
  constant index_table : const_index_table := (
34
 
35
                1 => 364,
36
                2 => 728,
37
                3 => 363,
38
                4 => 727,
39
                5 => 362,
40
                6 => 726,
41
                7 => 361,
42
                8 => 725,
43
                9 => 360,
44
                10 => 724,
45
                11 => 359,
46
                12 => 723,
47
                13 => 358,
48
                14 => 722,
49
                15 => 357,
50
                16 => 721,
51
                17 => 356,
52
                18 => 720,
53
                19 => 355,
54
                20 => 719,
55
                21 => 354,
56
                22 => 718,
57
                23 => 353,
58
                24 => 717,
59
                25 => 352,
60
                26 => 716,
61
                27 => 351,
62
                28 => 715,
63
                29 => 350,
64
                30 => 714,
65
                31 => 349,
66
                32 => 713,
67
                33 => 348,
68
                34 => 712,
69
                35 => 347,
70
                36 => 711,
71
                37 => 346,
72
                38 => 710,
73
                39 => 345,
74
                40 => 709,
75
                41 => 344,
76
                42 => 708,
77
                43 => 343,
78
                44 => 707,
79
                45 => 342,
80
                46 => 706,
81
                47 => 341,
82
                48 => 705,
83
                49 => 340,
84
                50 => 704,
85
                51 => 339,
86
                52 => 703,
87
                53 => 338,
88
                54 => 702,
89
                55 => 337,
90
                56 => 701,
91
                57 => 336,
92
                58 => 700,
93
                59 => 335,
94
                60 => 699,
95
                61 => 334,
96
                62 => 698,
97
                63 => 333,
98
                64 => 697,
99
                65 => 332,
100
                66 => 696,
101
                67 => 331,
102
                68 => 695,
103
                69 => 330,
104
                70 => 694,
105
                71 => 329,
106
                72 => 693,
107
                73 => 328,
108
                74 => 692,
109
                75 => 327,
110
                76 => 691,
111
                77 => 326,
112
                78 => 690,
113
                79 => 325,
114
                80 => 689,
115
                81 => 324,
116
                82 => 688,
117
                83 => 323,
118
                84 => 687,
119
                85 => 322,
120
                86 => 686,
121
                87 => 321,
122
                88 => 685,
123
                89 => 320,
124
                90 => 684,
125
                91 => 319,
126
                92 => 683,
127
                93 => 318,
128
                94 => 682,
129
                95 => 317,
130
                96 => 681,
131
                97 => 316,
132
                98 => 680,
133
                99 => 315,
134
                100 => 679,
135
                101 => 314,
136
                102 => 678,
137
                103 => 313,
138
                104 => 677,
139
                105 => 312,
140
                106 => 676,
141
                107 => 311,
142
                108 => 675,
143
                109 => 310,
144
                110 => 674,
145
                111 => 309,
146
                112 => 673,
147
                113 => 308,
148
                114 => 672,
149
                115 => 307,
150
                116 => 671,
151
                117 => 306,
152
                118 => 670,
153
                119 => 305,
154
                120 => 669,
155
                121 => 304,
156
                122 => 668,
157
                123 => 303,
158
                124 => 667,
159
                125 => 302,
160
                126 => 666,
161
                127 => 301,
162
                128 => 665,
163
                129 => 300,
164
                130 => 664,
165
                131 => 299,
166
                132 => 663,
167
                133 => 298,
168
                134 => 662,
169
                135 => 297,
170
                136 => 661,
171
                137 => 296,
172
                138 => 660,
173
                139 => 295,
174
                140 => 659,
175
                141 => 294,
176
                142 => 658,
177
                143 => 293,
178
                144 => 657,
179
                145 => 292,
180
                146 => 656,
181
                147 => 291,
182
                148 => 655,
183
                149 => 290,
184
                150 => 654,
185
                151 => 289,
186
                152 => 653,
187
                153 => 288,
188
                154 => 652,
189
                155 => 287,
190
                156 => 651,
191
                157 => 286,
192
                158 => 650,
193
                159 => 285,
194
                160 => 649,
195
                161 => 284,
196
                162 => 648,
197
                163 => 283,
198
                164 => 647,
199
                165 => 282,
200
                166 => 646,
201
                167 => 281,
202
                168 => 645,
203
                169 => 280,
204
                170 => 644,
205
                171 => 279,
206
                172 => 643,
207
                173 => 278,
208
                174 => 642,
209
                175 => 277,
210
                176 => 641,
211
                177 => 276,
212
                178 => 640,
213
                179 => 275,
214
                180 => 639,
215
                181 => 274,
216
                182 => 638,
217
                183 => 273,
218
                184 => 637,
219
                185 => 272,
220
                186 => 636,
221
                187 => 271,
222
                188 => 635,
223
                189 => 270,
224
                190 => 634,
225
                191 => 269,
226
                192 => 633,
227
                193 => 268,
228
                194 => 632,
229
                195 => 267,
230
                196 => 631,
231
                197 => 266,
232
                198 => 630,
233
                199 => 265,
234
                200 => 629,
235
                201 => 264,
236
                202 => 628,
237
                203 => 263,
238
                204 => 627,
239
                205 => 262,
240
                206 => 626,
241
                207 => 261,
242
                208 => 625,
243
                209 => 260,
244
                210 => 624,
245
                211 => 259,
246
                212 => 623,
247
                213 => 258,
248
                214 => 622,
249
                215 => 257,
250
                216 => 621,
251
                217 => 256,
252
                218 => 620,
253
                219 => 255,
254
                220 => 619,
255
                221 => 254,
256
                222 => 618,
257
                223 => 253,
258
                224 => 617,
259
                225 => 252,
260
                226 => 616,
261
                227 => 251,
262
                228 => 615,
263
                229 => 250,
264
                230 => 614,
265
                231 => 249,
266
                232 => 613,
267
                233 => 248,
268
                234 => 612,
269
                235 => 247,
270
                236 => 611,
271
                237 => 246,
272
                238 => 610,
273
                239 => 245,
274
                240 => 609,
275
                241 => 244,
276
                242 => 608,
277
                243 => 243,
278
                244 => 607,
279
                245 => 242,
280
                246 => 606,
281
                247 => 241,
282
                248 => 605,
283
                249 => 240,
284
                250 => 604,
285
                251 => 239,
286
                252 => 603,
287
                253 => 238,
288
                254 => 602,
289
                255 => 237,
290
                256 => 601,
291
                257 => 236,
292
                258 => 600,
293
                259 => 235,
294
                260 => 599,
295
                261 => 234,
296
                262 => 598,
297
                263 => 233,
298
                264 => 597,
299
                265 => 232,
300
                266 => 596,
301
                267 => 231,
302
                268 => 595,
303
                269 => 230,
304
                270 => 594,
305
                271 => 229,
306
                272 => 593,
307
                273 => 228,
308
                274 => 592,
309
                275 => 227,
310
                276 => 591,
311
                277 => 226,
312
                278 => 590,
313
                279 => 225,
314
                280 => 589,
315
                281 => 224,
316
                282 => 588,
317
                283 => 223,
318
                284 => 587,
319
                285 => 222,
320
                286 => 586,
321
                287 => 221,
322
                288 => 585,
323
                289 => 220,
324
                290 => 584,
325
                291 => 219,
326
                292 => 583,
327
                293 => 218,
328
                294 => 582,
329
                295 => 217,
330
                296 => 581,
331
                297 => 216,
332
                298 => 580,
333
                299 => 215,
334
                300 => 579,
335
                301 => 214,
336
                302 => 578,
337
                303 => 213,
338
                304 => 577,
339
                305 => 212,
340
                306 => 576,
341
                307 => 211,
342
                308 => 575,
343
                309 => 210,
344
                310 => 574,
345
                311 => 209,
346
                312 => 573,
347
                313 => 208,
348
                314 => 572,
349
                315 => 207,
350
                316 => 571,
351
                317 => 206,
352
                318 => 570,
353
                319 => 205,
354
                320 => 569,
355
                321 => 204,
356
                322 => 568,
357
                323 => 203,
358
                324 => 567,
359
                325 => 202,
360
                326 => 566,
361
                327 => 201,
362
                328 => 565,
363
                329 => 200,
364
                330 => 564,
365
                331 => 199,
366
                332 => 563,
367
                333 => 198,
368
                334 => 562,
369
                335 => 197,
370
                336 => 561,
371
                337 => 196,
372
                338 => 560,
373
                339 => 195,
374
                340 => 559,
375
                341 => 194,
376
                342 => 558,
377
                343 => 193,
378
                344 => 557,
379
                345 => 192,
380
                346 => 556,
381
                347 => 191,
382
                348 => 555,
383
                349 => 190,
384
                350 => 554,
385
                351 => 189,
386
                352 => 553,
387
                353 => 188,
388
                354 => 552,
389
                355 => 187,
390
                356 => 551,
391
                357 => 186,
392
                358 => 550,
393
                359 => 185,
394
                360 => 549,
395
                361 => 184,
396
                362 => 548,
397
                363 => 183,
398
                364 => 547,
399
                365 => 182,
400
                366 => 546,
401
                367 => 181,
402
                368 => 545,
403
                369 => 180,
404
                370 => 544,
405
                371 => 179,
406
                372 => 543,
407
                373 => 178,
408
                374 => 542,
409
                375 => 177,
410
                376 => 541,
411
                377 => 176,
412
                378 => 540,
413
                379 => 175,
414
                380 => 539,
415
                381 => 174,
416
                382 => 538,
417
                383 => 173,
418
                384 => 537,
419
                385 => 172,
420
                386 => 536,
421
                387 => 171,
422
                388 => 535,
423
                389 => 170,
424
                390 => 534,
425
                391 => 169,
426
                392 => 533,
427
                393 => 168,
428
                394 => 532,
429
                395 => 167,
430
                396 => 531,
431
                397 => 166,
432
                398 => 530,
433
                399 => 165,
434
                400 => 529,
435
                401 => 164,
436
                402 => 528,
437
                403 => 163,
438
                404 => 527,
439
                405 => 162,
440
                406 => 526,
441
                407 => 161,
442
                408 => 525,
443
                409 => 160,
444
                410 => 524,
445
                411 => 159,
446
                412 => 523,
447
                413 => 158,
448
                414 => 522,
449
                415 => 157,
450
                416 => 521,
451
                417 => 156,
452
                418 => 520,
453
                419 => 155,
454
                420 => 519,
455
                421 => 154,
456
                422 => 518,
457
                423 => 153,
458
                424 => 517,
459
                425 => 152,
460
                426 => 516,
461
                427 => 151,
462
                428 => 515,
463
                429 => 150,
464
                430 => 514,
465
                431 => 149,
466
                432 => 513,
467
                433 => 148,
468
                434 => 512,
469
                435 => 147,
470
                436 => 511,
471
                437 => 146,
472
                438 => 510,
473
                439 => 145,
474
                440 => 509,
475
                441 => 144,
476
                442 => 508,
477
                443 => 143,
478
                444 => 507,
479
                445 => 142,
480
                446 => 506,
481
                447 => 141,
482
                448 => 505,
483
                449 => 140,
484
                450 => 504,
485
                451 => 139,
486
                452 => 503,
487
                453 => 138,
488
                454 => 502,
489
                455 => 137,
490
                456 => 501,
491
                457 => 136,
492
                458 => 500,
493
                459 => 135,
494
                460 => 499,
495
                461 => 134,
496
                462 => 498,
497
                463 => 133,
498
                464 => 497,
499
                465 => 132,
500
                466 => 496,
501
                467 => 131,
502
                468 => 495,
503
                469 => 130,
504
                470 => 494,
505
                471 => 129,
506
                472 => 493,
507
                473 => 128,
508
                474 => 492,
509
                475 => 127,
510
                476 => 491,
511
                477 => 126,
512
                478 => 490,
513
                479 => 125,
514
                480 => 489,
515
                481 => 124,
516
                482 => 488,
517
                483 => 123,
518
                484 => 487,
519
                485 => 122,
520
                486 => 486,
521
                487 => 121,
522
                488 => 485,
523
                489 => 120,
524
                490 => 484,
525
                491 => 119,
526
                492 => 483,
527
                493 => 118,
528
                494 => 482,
529
                495 => 117,
530
                496 => 481,
531
                497 => 116,
532
                498 => 480,
533
                499 => 115,
534
                500 => 479,
535
                501 => 114,
536
                502 => 478,
537
                503 => 113,
538
                504 => 477,
539
                505 => 112,
540
                506 => 476,
541
                507 => 111,
542
                508 => 475,
543
                509 => 110,
544
                510 => 474,
545
                511 => 109,
546
                512 => 473,
547
                513 => 108,
548
                514 => 472,
549
                515 => 107,
550
                516 => 471,
551
                517 => 106,
552
                518 => 470,
553
                519 => 105,
554
                520 => 469,
555
                521 => 104,
556
                522 => 468,
557
                523 => 103,
558
                524 => 467,
559
                525 => 102,
560
                526 => 466,
561
                527 => 101,
562
                528 => 465,
563
                529 => 100,
564
                530 => 464,
565
                531 => 99,
566
                532 => 463,
567
                533 => 98,
568
                534 => 462,
569
                535 => 97,
570
                536 => 461,
571
                537 => 96,
572
                538 => 460,
573
                539 => 95,
574
                540 => 459,
575
                541 => 94,
576
                542 => 458,
577
                543 => 93,
578
                544 => 457,
579
                545 => 92,
580
                546 => 456,
581
                547 => 91,
582
                548 => 455,
583
                549 => 90,
584
                550 => 454,
585
                551 => 89,
586
                552 => 453,
587
                553 => 88,
588
                554 => 452,
589
                555 => 87,
590
                556 => 451,
591
                557 => 86,
592
                558 => 450,
593
                559 => 85,
594
                560 => 449,
595
                561 => 84,
596
                562 => 448,
597
                563 => 83,
598
                564 => 447,
599
                565 => 82,
600
                566 => 446,
601
                567 => 81,
602
                568 => 445,
603
                569 => 80,
604
                570 => 444,
605
                571 => 79,
606
                572 => 443,
607
                573 => 78,
608
                574 => 442,
609
                575 => 77,
610
                576 => 441,
611
                577 => 76,
612
                578 => 440,
613
                579 => 75,
614
                580 => 439,
615
                581 => 74,
616
                582 => 438,
617
                583 => 73,
618
                584 => 437,
619
                585 => 72,
620
                586 => 436,
621
                587 => 71,
622
                588 => 435,
623
                589 => 70,
624
                590 => 434,
625
                591 => 69,
626
                592 => 433,
627
                593 => 68,
628
                594 => 432,
629
                595 => 67,
630
                596 => 431,
631
                597 => 66,
632
                598 => 430,
633
                599 => 65,
634
                600 => 429,
635
                601 => 64,
636
                602 => 428,
637
                603 => 63,
638
                604 => 427,
639
                605 => 62,
640
                606 => 426,
641
                607 => 61,
642
                608 => 425,
643
                609 => 60,
644
                610 => 424,
645
                611 => 59,
646
                612 => 423,
647
                613 => 58,
648
                614 => 422,
649
                615 => 57,
650
                616 => 421,
651
                617 => 56,
652
                618 => 420,
653
                619 => 55,
654
                620 => 419,
655
                621 => 54,
656
                622 => 418,
657
                623 => 53,
658
                624 => 417,
659
                625 => 52,
660
                626 => 416,
661
                627 => 51,
662
                628 => 415,
663
                629 => 50,
664
                630 => 414,
665
                631 => 49,
666
                632 => 413,
667
                633 => 48,
668
                634 => 412,
669
                635 => 47,
670
                636 => 411,
671
                637 => 46,
672
                638 => 410,
673
                639 => 45,
674
                640 => 409,
675
                641 => 44,
676
                642 => 408,
677
                643 => 43,
678
                644 => 407,
679
                645 => 42,
680
                646 => 406,
681
                647 => 41,
682
                648 => 405,
683
                649 => 40,
684
                650 => 404,
685
                651 => 39,
686
                652 => 403,
687
                653 => 38,
688
                654 => 402,
689
                655 => 37,
690
                656 => 401,
691
                657 => 36,
692
                658 => 400,
693
                659 => 35,
694
                660 => 399,
695
                661 => 34,
696
                662 => 398,
697
                663 => 33,
698
                664 => 397,
699
                665 => 32,
700
                666 => 396,
701
                667 => 31,
702
                668 => 395,
703
                669 => 30,
704
                670 => 394,
705
                671 => 29,
706
                672 => 393,
707
                673 => 28,
708
                674 => 392,
709
                675 => 27,
710
                676 => 391,
711
                677 => 26,
712
                678 => 390,
713
                679 => 25,
714
                680 => 389,
715
                681 => 24,
716
                682 => 388,
717
                683 => 23,
718
                684 => 387,
719
                685 => 22,
720
                686 => 386,
721
                687 => 21,
722
                688 => 385,
723
                689 => 20,
724
                690 => 384,
725
                691 => 19,
726
                692 => 383,
727
                693 => 18,
728
                694 => 382,
729
                695 => 17,
730
                696 => 381,
731
                697 => 16,
732
                698 => 380,
733
                699 => 15,
734
                700 => 379,
735
                701 => 14,
736
                702 => 378,
737
                703 => 13,
738
                704 => 377,
739
                705 => 12,
740
                706 => 376,
741
                707 => 11,
742
                708 => 375,
743
                709 => 10,
744
                710 => 374,
745
                711 => 9,
746
                712 => 373,
747
                713 => 8,
748
                714 => 372,
749
                715 => 7,
750
                716 => 371,
751
                717 => 6,
752
                718 => 370,
753
                719 => 5,
754
                720 => 369,
755
                721 => 4,
756
                722 => 368,
757
                723 => 3,
758
                724 => 367,
759
                725 => 2,
760
                726 => 366,
761
                727 => 1,
762
                728 => 365,
763
                729 => 0
764
        );
765
 
766
 
767
end index_table;
768
 
769
package body index_table is
770
   -- subprogram bodies here
771
end index_table;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.