OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_cyclone10_lp/] [curl-cyclone10.qsf] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 microengin
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 2017  Intel Corporation. All rights reserved.
4
# Your use of Intel Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Intel Program License
10
# Subscription Agreement, the Intel Quartus Prime License Agreement,
11
# the Intel FPGA IP License Agreement, or other applicable license
12
# agreement, including, without limitation, that your use is for
13
# the sole purpose of programming logic devices manufactured by
14
# Intel and sold by Intel or its authorized distributors.  Please
15
# refer to the applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus Prime
20
# Version 17.1.0 Build 590 10/25/2017 SJ Lite Edition
21
# Date created = 10:09:41  April 23, 2018
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               curl-cyclone10_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus Prime software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone 10 LP"
40
set_global_assignment -name DEVICE 10CL025YE144C8G
41
set_global_assignment -name TOP_LEVEL_ENTITY de1
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.1.0
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:09:41  APRIL 23, 2018"
44
set_global_assignment -name LAST_QUARTUS_VERSION "17.1.0 Lite Edition"
45
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 2
49
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
50
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
51
set_global_assignment -name VHDL_FILE spi_slave.vhd
52
set_global_assignment -name VHDL_FILE de1.vhd
53
set_global_assignment -name VHDL_FILE index_table.vhd
54
set_global_assignment -name VHDL_FILE curl.vhd
55
set_global_assignment -name QIP_FILE pll.qip
56
set_location_assignment PIN_52 -to CLOCK_50
57
set_location_assignment PIN_100 -to spi_miso
58
set_location_assignment PIN_99 -to spi_mosi
59
set_location_assignment PIN_98 -to spi_sck
60
set_location_assignment PIN_101 -to spi_ss
61
set_location_assignment PIN_72 -to reset
62
set_location_assignment PIN_68 -to led_running
63
set_location_assignment PIN_66 -to led_overflow
64
set_location_assignment PIN_67 -to led_found
65
set_global_assignment -name ENABLE_OCT_DONE OFF
66
set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "PASSIVE SERIAL"
67
set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "PASSIVE SERIAL"
68
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
69
set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
70
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
71
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
72
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
73
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
74
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS"
75
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
76
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
77
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
78
set_location_assignment PIN_12 -to ~ALTERA_DCLK~
79
set_location_assignment PIN_13 -to ~ALTERA_DATA0~
80
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION AUTO
81
set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON
82
set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION ON
83
set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION ON
84
set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ALWAYS
85
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
86
set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS OFF
87
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
88
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
89
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
90
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
91
set_global_assignment -name SMART_RECOMPILE ON
92
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
93
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
94
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
95
set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION ON
96
set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL MAXIMUM
97 7 microengin
set_global_assignment -name QII_AUTO_PACKED_REGISTERS NORMAL
98
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
99 4 microengin
set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON
100 7 microengin
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
101 4 microengin
set_global_assignment -name MUX_RESTRUCTURE OFF
102 7 microengin
set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT"
103 4 microengin
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA OFF
104
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA OFF
105
set_global_assignment -name AUTO_RAM_RECOGNITION ON
106 7 microengin
set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 8.0
107 4 microengin
set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION AUTOMATICALLY
108
set_global_assignment -name OPTIMIZE_TIMING "NORMAL COMPILATION"
109 7 microengin
set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT"
110 4 microengin
set_global_assignment -name ALLOW_REGISTER_DUPLICATION OFF
111 7 microengin
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING OFF
112
set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 16.0
113 4 microengin
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.