OpenCores
URL https://opencores.org/ocsvn/keras_to_fpga/keras_to_fpga/trunk

Subversion Repositories keras_to_fpga

[/] [keras_to_fpga/] [trunk/] [src/] [mnist_mlp/] [weights/] [dense_6_8.txt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 qaztronic
3df34298
2
bf559c92
3
bec844a2
4
bf332730
5
3cff7bcd
6
bf3f537e
7
bf2b42eb
8
3c94374a
9
bf1546b0
10
bd29d204
11
bd86e573
12
3c92d8a0
13
beb0a3a7
14
be603f1c
15
3c9b82d2
16
be941f72
17
bdab19d7
18
babff1cc
19
3e87fa60
20
bf25e596
21
be74161a
22
3dc5611d
23
bf66499a
24
3e1d41bc
25
be398c58
26
3e2f2d5c
27
3e16e126
28
be96a65e
29
3d8ab135
30
bd1263a9
31
3d300fc8
32
3dab5e73
33
be6f4b89
34
be8293e8
35
3e63513b
36
3d981374
37
bf11b8e7
38
be7116a3
39
be3c9174
40
be356ecb
41
bf8cdb31
42
3dfb3249
43
bf7d530a
44
be498274
45
3e55d421
46
bfa0a80a
47
be8133ec
48
bf1022da
49
bf508250
50
be993e76
51
beb7b8c6
52
3d95e9a1
53
3e47b705
54
3dc7a8dd
55
be651f2e
56
beb48284
57
3dfa004d
58
bd7f51ee
59
3c851e5d
60
bef7adb2
61
3e41c1c0
62
3d959f59
63
3e0c925a
64
3e02cf77
65
3ed114b3

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.