OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [xilinx/] [clock.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____ 
5
--  /   /\/   / 
6
-- /___/  \  /    Vendor: Xilinx 
7
-- \   \   \/     Version : 13.1
8
--  \   \         Application : xaw2vhdl
9
--  /   /         Filename : clook.vhd
10
-- /___/   /\     Timestamp : 04/15/2012 16:20:50
11
-- \   \  /  \ 
12
--  \___\/\___\ 
13
--
14
--Command: xaw2vhdl-intstyle C:/Mathias/xrisc/xilinx/ipcore_dir/clook.xaw -st clook.vhd
15
--Design Name: clook
16
--Device: xc3s500e-4fg320
17
--
18
-- Module clook
19
-- Generated by Xilinx Architecture Wizard
20
-- Written for synthesis tool: XST
21
 
22
library ieee;
23
use ieee.std_logic_1164.ALL;
24
use ieee.numeric_std.ALL;
25
library UNISIM;
26
use UNISIM.Vcomponents.ALL;
27
 
28
entity clook is
29
   port ( U1_CLKIN_IN        : in    std_logic;
30
          U1_RST_IN          : in    std_logic;
31
          U1_CLKDV_OUT       : out   std_logic;
32
          U1_CLKIN_IBUFG_OUT : out   std_logic;
33
          U1_CLK0_OUT        : out   std_logic;
34
          U2_CLK0_OUT        : out   std_logic;
35
          U2_CLK90_OUT       : out   std_logic;
36
          U2_LOCKED_OUT      : out   std_logic);
37
end clook;
38
 
39
architecture BEHAVIORAL of clook is
40
   signal GND_BIT            : std_logic;
41
   signal U1_CLKDV_BUF       : std_logic;
42
   signal U1_CLKFB_IN        : std_logic;
43
   signal U1_CLKIN_IBUFG     : std_logic;
44
   signal U1_CLK0_BUF        : std_logic;
45
   signal U1_LOCKED_INV_IN   : std_logic;
46
   signal U2_CLKFB_IN        : std_logic;
47
   signal U2_CLKIN_IN        : std_logic;
48
   signal U2_CLK0_BUF        : std_logic;
49
   signal U2_CLK90_BUF       : std_logic;
50
   signal U2_FDS_Q_OUT       : std_logic;
51
   signal U2_FD1_Q_OUT       : std_logic;
52
   signal U2_FD2_Q_OUT       : std_logic;
53
   signal U2_FD3_Q_OUT       : std_logic;
54
   signal U2_LOCKED_INV_RST  : std_logic;
55
   signal U2_OR3_O_OUT       : std_logic;
56
   signal U2_RST_IN          : std_logic;
57
begin
58
   GND_BIT <= '0';
59
   U1_CLKDV_OUT <= U2_CLKIN_IN;
60
   U1_CLKIN_IBUFG_OUT <= U1_CLKIN_IBUFG;
61
   U1_CLK0_OUT <= U1_CLKFB_IN;
62
   U2_CLK0_OUT <= U2_CLKFB_IN;
63
   DCM_SP_INST1 : DCM_SP
64
   generic map( CLK_FEEDBACK => "1X",
65
            CLKDV_DIVIDE => 2.0,
66
            CLKFX_DIVIDE => 1,
67
            CLKFX_MULTIPLY => 4,
68
            CLKIN_DIVIDE_BY_2 => FALSE,
69
            CLKIN_PERIOD => 20.000,
70
            CLKOUT_PHASE_SHIFT => "NONE",
71
            DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
72
            DFS_FREQUENCY_MODE => "LOW",
73
            DLL_FREQUENCY_MODE => "LOW",
74
            DUTY_CYCLE_CORRECTION => TRUE,
75
            FACTORY_JF => x"C080",
76
            PHASE_SHIFT => 0,
77
            STARTUP_WAIT => FALSE)
78
      port map (CLKFB=>U1_CLKFB_IN,
79
                CLKIN=>U1_CLKIN_IBUFG,
80
                DSSEN=>GND_BIT,
81
                PSCLK=>GND_BIT,
82
                PSEN=>GND_BIT,
83
                PSINCDEC=>GND_BIT,
84
                RST=>U1_RST_IN,
85
                CLKDV=>U1_CLKDV_BUF,
86
                CLKFX=>open,
87
                CLKFX180=>open,
88
                CLK0=>U1_CLK0_BUF,
89
                CLK2X=>open,
90
                CLK2X180=>open,
91
                CLK90=>open,
92
                CLK180=>open,
93
                CLK270=>open,
94
                LOCKED=>U1_LOCKED_INV_IN,
95
                PSDONE=>open,
96
                STATUS=>open);
97
 
98
   DCM_SP_INST2 : DCM_SP
99
   generic map( CLK_FEEDBACK => "1X",
100
            CLKDV_DIVIDE => 2.0,
101
            CLKFX_DIVIDE => 1,
102
            CLKFX_MULTIPLY => 4,
103
            CLKIN_DIVIDE_BY_2 => FALSE,
104
            CLKIN_PERIOD => 40.000,
105
            CLKOUT_PHASE_SHIFT => "NONE",
106
            DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
107
            DFS_FREQUENCY_MODE => "LOW",
108
            DLL_FREQUENCY_MODE => "LOW",
109
            DUTY_CYCLE_CORRECTION => TRUE,
110
            FACTORY_JF => x"C080",
111
            PHASE_SHIFT => 0,
112
            STARTUP_WAIT => FALSE)
113
      port map (CLKFB=>U2_CLKFB_IN,
114
                CLKIN=>U2_CLKIN_IN,
115
                DSSEN=>GND_BIT,
116
                PSCLK=>GND_BIT,
117
                PSEN=>GND_BIT,
118
                PSINCDEC=>GND_BIT,
119
                RST=>U2_RST_IN,
120
                CLKDV=>open,
121
                CLKFX=>open,
122
                CLKFX180=>open,
123
                CLK0=>U2_CLK0_BUF,
124
                CLK2X=>open,
125
                CLK2X180=>open,
126
                CLK90=>U2_CLK90_BUF,
127
                CLK180=>open,
128
                CLK270=>open,
129
                LOCKED=>U2_LOCKED_OUT,
130
                PSDONE=>open,
131
                STATUS=>open);
132
 
133
   U1_CLKDV_BUFG_INST : BUFG
134
      port map (I=>U1_CLKDV_BUF,
135
                O=>U2_CLKIN_IN);
136
 
137
   U1_CLKIN_IBUFG_INST : IBUFG
138
      port map (I=>U1_CLKIN_IN,
139
                O=>U1_CLKIN_IBUFG);
140
 
141
   U1_CLK0_BUFG_INST : BUFG
142
      port map (I=>U1_CLK0_BUF,
143
                O=>U1_CLKFB_IN);
144
 
145
   U1_INV_INST : INV
146
      port map (I=>U1_LOCKED_INV_IN,
147
                O=>U2_LOCKED_INV_RST);
148
 
149
   U2_CLK0_BUFG_INST : BUFG
150
      port map (I=>U2_CLK0_BUF,
151
                O=>U2_CLKFB_IN);
152
 
153
   U2_CLK90_BUFG_INST : BUFG
154
      port map (I=>U2_CLK90_BUF,
155
                O=>U2_CLK90_OUT);
156
 
157
   U2_FDS_INST : FDS
158
      port map (C=>U2_CLKIN_IN,
159
                D=>GND_BIT,
160
                S=>GND_BIT,
161
                Q=>U2_FDS_Q_OUT);
162
 
163
   U2_FD1_INST : FD
164
      port map (C=>U2_CLKIN_IN,
165
                D=>U2_FDS_Q_OUT,
166
                Q=>U2_FD1_Q_OUT);
167
 
168
   U2_FD2_INST : FD
169
      port map (C=>U2_CLKIN_IN,
170
                D=>U2_FD1_Q_OUT,
171
                Q=>U2_FD2_Q_OUT);
172
 
173
   U2_FD3_INST : FD
174
      port map (C=>U2_CLKIN_IN,
175
                D=>U2_FD2_Q_OUT,
176
                Q=>U2_FD3_Q_OUT);
177
 
178
   U2_OR2_INST : OR2
179
      port map (I0=>U2_LOCKED_INV_RST,
180
                I1=>U2_OR3_O_OUT,
181
                O=>U2_RST_IN);
182
 
183
   U2_OR3_INST : OR3
184
      port map (I0=>U2_FD3_Q_OUT,
185
                I1=>U2_FD2_Q_OUT,
186
                I2=>U2_FD1_Q_OUT,
187
                O=>U2_OR3_O_OUT);
188
 
189
end BEHAVIORAL;
190
 
191
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.