OpenCores
URL https://opencores.org/ocsvn/lcd/lcd/trunk

Subversion Repositories lcd

[/] [lcd/] [web_uploads/] [counterv.shtml] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
<html>
2
<head>
3
<title>OPENCORES.ORG</title>
4
<META NAME="keywords" CONTENT="cores, VHDL, Verilog HDL, ASIC, Synthesizable,
5
standard cell, IP, Intellectual Property, 32-bit RISC, UART, PCI, SDRAM,
6
full custom, system on a chip, SOC, reusable, design, development, synthesis,
7
designs, developers, C, Linux, eCos, open, free, open source cores, RTL code,
8
system-on-a-chip, circuits, digital, GNU, GPL, core, controller, processor,
9
system design, chip design, EDA, design methodology, design tools, ASICs, programmable logic,
10
FPGA's, PLDs, CPLDs, verification, Synthesis, HDL, Simulation, IC design software,
11
semiconductor design, integrated circuits, system designs, chip designs, EDAs,
12
design methodologies, design tool, ASIC, programmable logics, FPGA, PLD, CPLD, Synthesis,
13
circuit, Synopsys, system design, chip design, programmable logic, FPGA's, PLDs,
14
CPLDs, verification, Simulation">
15
<META NAME="description" CONTENT="OPENCORES.ORG endorses development and hosts
16
a repository of free, open source IP cores (chip designs, System-on-a-Chip) and
17
supplemental boards.">
18
</head>
19
 
20
<body bgcolor=#ffffff>
21
 
22
<table width="100%" cellspacing=5 cellpadding=0 border=0>
23
    <tr valign="top"><td>
24
    <center>
25
        <table cellspacing=0 cellpadding=5 width="100%" valign="top" border=0>
26
<tr valign="top"><td bgcolor=#f0f0f0 valign="top">
27
<center><font size=+3><b>OPENCORES.ORG</b></font>
28
<br><font size=-4><font color=#ffffff>.</font></font>
29
<br>
30
</center>
31
 
32
</td></tr></table>
33
 
34
 
35
    </center>
36
 
37
 
38
    </td></tr>
39
 
40
    <tr valign="top"><td>
41
    <table border=0 cellspacing=0 cellpadding=5 width="100%"><tr valign="top"><td bgcolor="#f8f8f0">
42
        &nbsp;
43
 
44
 
45
    </td>
46
    <td valign="top">
47
    <table cellpadding=5 width="673"><tr><td valign="top" width="657">
48
 
49
<font SIZE="2">-- VHDL structural description generated from `counter`
50
<p>-- date : Tue Feb 20 14:23:43 2001</p>
51
<p>&nbsp;</p>
52
<p>-- Entity Declaration</p>
53
<p>ENTITY counter IS</p>
54
<p>PORT (</p>
55
<p>e : in BIT; -- e</p>
56
<p>ck : in BIT; -- ck</p>
57
<p>res : in BIT; -- res</p>
58
<p>vdd : in BIT; -- vdd</p>
59
<p>vss : in BIT; -- vss</p>
60
<p>a : out BIT_VECTOR (0 TO 4); -- a</p>
61
<p>q_c : out BIT -- q_c</p>
62
<p>);</p>
63
<p>END counter;</p>
64
<p>-- Architecture Declaration</p>
65
<p>ARCHITECTURE VST OF counter IS</p>
66
<p>COMPONENT a2_y</p>
67
<p>port (</p>
68
<p>i0 : in BIT; -- i0</p>
69
<p>i1 : in BIT; -- i1</p>
70
<p>t : out BIT; -- t</p>
71
<p>vdd : in BIT; -- vdd</p>
72
<p>vss : in BIT -- vss</p>
73
<p>);</p>
74
<p>END COMPONENT;</p>
75
<p>COMPONENT xr2_y</p>
76
<p>port (</p>
77
<p>i0 : in BIT; -- i0</p>
78
<p>i1 : in BIT; -- i1</p>
79
<p>t : out BIT; -- t</p>
80
<p>vdd : in BIT; -- vdd</p>
81
<p>vss : in BIT -- vss</p>
82
<p>);</p>
83
<p>END COMPONENT;</p>
84
<p>COMPONENT dffres</p>
85
<p>port (</p>
86
<p>input : in BIT; -- input</p>
87
<p>ck : in BIT; -- ck</p>
88
<p>reset : in BIT; -- reset</p>
89
<p>output : out BIT; -- output</p>
90
<p>vdd : in BIT; -- vdd</p>
91
<p>vss : in BIT -- vss</p>
92
<p>);</p>
93
<p>END COMPONENT;</p>
94
<p>SIGNAL c_1 : BIT; -- c_1</p>
95
<p>SIGNAL c_2 : BIT; -- c_2</p>
96
<p>SIGNAL c_3 : BIT; -- c_3</p>
97
<p>SIGNAL d_1 : BIT; -- d_1</p>
98
<p>SIGNAL d_2 : BIT; -- d_2</p>
99
<p>SIGNAL d_3 : BIT; -- d_3</p>
100
<p>SIGNAL d_4 : BIT; -- d_4</p>
101
<p>BEGIN</p>
102
<p>an00 : a2_y</p>
103
<p>PORT MAP (</p>
104
<p>vss =&gt; vss,</p>
105
<p>vdd =&gt; vdd,</p>
106
<p>t =&gt; c_1,</p>
107
<p>i1 =&gt; a(0),</p>
108
<p>i0 =&gt; e);</p>
109
<p>an01 : a2_y</p>
110
<p>PORT MAP (</p>
111
<p>vss =&gt; vss,</p>
112
<p>vdd =&gt; vdd,</p>
113
<p>t =&gt; c_2,</p>
114
<p>i1 =&gt; a(1),</p>
115
<p>i0 =&gt; c_1);</p>
116
<p>an02 : a2_y</p>
117
<p>PORT MAP (</p>
118
<p>vss =&gt; vss,</p>
119
<p>vdd =&gt; vdd,</p>
120
<p>t =&gt; c_3,</p>
121
<p>i1 =&gt; a(2),</p>
122
<p>i0 =&gt; c_2);</p>
123
<p>an03 : a2_y</p>
124
<p>PORT MAP (</p>
125
<p>vss =&gt; vss,</p>
126
<p>vdd =&gt; vdd,</p>
127
<p>t =&gt; q_c,</p>
128
<p>i1 =&gt; a(3),</p>
129
<p>i0 =&gt; c_3);</p>
130
<p>xr00 : xr2_y</p>
131
<p>PORT MAP (</p>
132
<p>vss =&gt; vss,</p>
133
<p>vdd =&gt; vdd,</p>
134
<p>t =&gt; d_1,</p>
135
<p>i1 =&gt; a(0),</p>
136
<p>i0 =&gt; e);</p>
137
<p>xr01 : xr2_y</p>
138
<p>PORT MAP (</p>
139
<p>vss =&gt; vss,</p>
140
<p>vdd =&gt; vdd,</p>
141
<p>t =&gt; d_2,</p>
142
<p>i1 =&gt; a(1),</p>
143
<p>i0 =&gt; c_1);</p>
144
<p>xr02 : xr2_y</p>
145
<p>PORT MAP (</p>
146
<p>vss =&gt; vss,</p>
147
<p>vdd =&gt; vdd,</p>
148
<p>t =&gt; d_3,</p>
149
<p>i1 =&gt; a(2),</p>
150
<p>i0 =&gt; c_2);</p>
151
<p>xr03 : xr2_y</p>
152
<p>PORT MAP (</p>
153
<p>vss =&gt; vss,</p>
154
<p>vdd =&gt; vdd,</p>
155
<p>t =&gt; d_4,</p>
156
<p>i1 =&gt; a(3),</p>
157
<p>i0 =&gt; c_3);</p>
158
<p>dff0 : dffres</p>
159
<p>PORT MAP (</p>
160
<p>vss =&gt; vss,</p>
161
<p>vdd =&gt; vdd,</p>
162
<p>output =&gt; a(0),</p>
163
<p>reset =&gt; res,</p>
164
<p>ck =&gt; ck,</p>
165
<p>input =&gt; d_1);</p>
166
<p>dff1 : dffres</p>
167
<p>PORT MAP (</p>
168
<p>vss =&gt; vss,</p>
169
<p>vdd =&gt; vdd,</p>
170
<p>output =&gt; a(1),</p>
171
<p>reset =&gt; res,</p>
172
<p>ck =&gt; ck,</p>
173
<p>input =&gt; d_2);</p>
174
<p>dff2 : dffres</p>
175
<p>PORT MAP (</p>
176
<p>vss =&gt; vss,</p>
177
<p>vdd =&gt; vdd,</p>
178
<p>output =&gt; a(3),</p>
179
<p>reset =&gt; res,</p>
180
<p>ck =&gt; ck,</p>
181
<p>input =&gt; d_3);</p>
182
<p>dff3 : dffres</p>
183
<p>PORT MAP (</p>
184
<p>vss =&gt; vss,</p>
185
<p>vdd =&gt; vdd,</p>
186
<p>output =&gt; a(4),</p>
187
<p>reset =&gt; res,</p>
188
<p>ck =&gt; ck,</p>
189
<p>input =&gt; d_4);</p>
190
<p>end VST;</p>
191
</font>
192
 
193
<b><font size=+1>Maintainers and Authors :</font></b>
194
<p>LCD Driver development team
195
<p>current members:
196
 
197
<ul>
198
<li>
199
<a href="mailto:marta@vlsi.itb.ac.id">Hendra Gunawan</a></li>
200
 
201
<li>
202
<a href="mailto:sigit@students.ee.itb.ac.id">Nurhadi Wiyono</a></li>
203
 
204
<li>
205
<a href="mailto:sigit@students.ee.itb.ac.id">Kharisma Sinung P</a></li>
206
 
207
</ul>
208
&nbsp;
209
<p>
210
<b><font size=+1>Mailing-list:</font></b>
211
<ul><a href="mailto:cores@opencores.org_NOSPAM">cores@opencores.org_NOSPAM</a></ul>
212
 
213
 
214
 
215
 
216
 
217
 
218
</td></tr></table>
219
</td></tr>
220
<tr><td bgcolor="#f8f8f0">&nbsp;</td>
221
<td valign="bottom">
222
<table cellspacing=0 cellpadding=4 border=0 width="100%"bgcolor="#f0f0f0"><tr>
223
<td align=left><i><small>Last modified on Sunday, 17-Sep-2000 03:58:04 JAVT</i></td>
224
<td align=right><i><small>Copyright © 1999-2000 OPENCORES.ORG. All rights reserved.</td>
225
</tr></table>
226
 
227
</td></tr></table>
228
 
229
</td></tr></table>
230
 
231
</body></html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.