OpenCores
URL https://opencores.org/ocsvn/lcd162b_behavior/lcd162b_behavior/trunk

Subversion Repositories lcd162b_behavior

[/] [lcd162b_behavior/] [trunk/] [lcd162b.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ttobsen
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
entity lcd162b is
5
        port (
6
                rs : in std_logic;
7
                rw : in std_logic;
8
                e  : in std_logic;
9
                db : inout std_logic_vector(7 downto 0);
10
 
11
                line1 : out string(1 to 16);
12
                line2 : out string(1 to 16)
13
        );
14
end entity lcd162b;
15
 
16
architecture RTL of lcd162b is
17
 
18
begin
19
 
20
        line1(1 to 5) <= "hallo";
21
        line2(1 to 2) <= "du";
22
 
23
end architecture RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.