OpenCores
URL https://opencores.org/ocsvn/lcd162b_behavior/lcd162b_behavior/trunk

Subversion Repositories lcd162b_behavior

[/] [lcd162b_behavior/] [trunk/] [testbench.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ttobsen
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
entity testbench is
5
end entity testbench;
6
 
7
architecture RTL of testbench is
8
 
9
        component lcd162b is
10
                port (
11
                        rs : in std_logic;
12
                        rw : in std_logic;
13
                        e  : in std_logic;
14
                        db : inout std_logic_vector(7 downto 0);
15
 
16
                        line1 : out string(1 to 16);
17
                        line2 : out string(1 to 16)
18
                );
19
        end component lcd162b;
20
 
21
        signal disp1 : string(1 to 16);
22
        signal disp2 : string(1 to 16);
23
 
24
begin
25
 
26
uut : lcd162b
27
        port map(
28
                rs => '0',
29
                rw => '0',
30
                e  => '0',
31
                db => open,
32
                line1 => disp1,
33
                line2 => disp2
34
        );
35
 
36
end architecture RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.