OpenCores
URL https://opencores.org/ocsvn/light8080/light8080/trunk

Subversion Repositories light8080

[/] [light8080/] [trunk/] [tools/] [obj2hdl/] [templates/] [obj_code_pkg_template.vhdl] - Blame information for rev 73

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 73 ja_rd
--------------------------------------------------------------------------------
2
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3
--------------------------------------------------------------------------------
4
-- This is where the application code lives.
5
-- FIXME should only be used from top level entity
6
-- FIXME name of package should be application-related
7
-- FIXME convert to vhdl template
8
--------------------------------------------------------------------------------
9
-- Copyright (C) 2011 Jose A. Ruiz
10
--                                                              
11
-- This source file may be used and distributed without         
12
-- restriction provided that this copyright statement is not    
13
-- removed from the file and that any derivative work contains  
14
-- the original copyright notice and the associated disclaimer. 
15
--                                                              
16
-- This source file is free software; you can redistribute it   
17
-- and/or modify it under the terms of the GNU Lesser General   
18
-- Public License as published by the Free Software Foundation; 
19
-- either version 2.1 of the License, or (at your option) any   
20
-- later version.                                               
21
--                                                              
22
-- This source is distributed in the hope that it will be       
23
-- useful, but WITHOUT ANY WARRANTY; without even the implied   
24
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      
25
-- PURPOSE.  See the GNU Lesser General Public License for more 
26
-- details.                                                     
27
--                                                              
28
-- You should have received a copy of the GNU Lesser General    
29
-- Public License along with this source; if not, download it   
30
-- from http://www.opencores.org/lgpl.shtml
31
--------------------------------------------------------------------------------
32
 
33
library ieee;
34
use ieee.std_logic_1164.all;
35
use ieee.numeric_std.all;
36
 
37
package @obj_pkg_name@ is
38
 
39
constant object_code :
40
  array(0 to @obj_size@) of std_logic_vector(7 downto 0) := (
41
    @obj_bytes@
42
    );
43
 
44
 
45
end package @obj_pkg_name@;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.