OpenCores
URL https://opencores.org/ocsvn/light8080/light8080/trunk

Subversion Repositories light8080

[/] [light8080/] [trunk/] [verilog/] [syn/] [altera_c2/] [l80soc.fit.rpt] - Blame information for rev 88

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 65 motilito
Fitter report for l80soc
2 88 motilito
Sun Apr 29 15:06:09 2012
3
Quartus II 32-bit Version 11.1 Build 173 11/01/2011 SJ Web Edition
4 65 motilito
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Fitter Summary
11
  3. Fitter Settings
12
  4. Parallel Compilation
13
  5. Incremental Compilation Preservation Summary
14
  6. Incremental Compilation Partition Settings
15
  7. Incremental Compilation Placement Preservation
16
  8. Pin-Out File
17
  9. Fitter Resource Usage Summary
18 88 motilito
 10. Fitter Partition Statistics
19
 11. Input Pins
20
 12. Output Pins
21
 13. Bidir Pins
22
 14. I/O Bank Usage
23
 15. All Package Pins
24
 16. Output Pin Default Load For Reported TCO
25
 17. Fitter Resource Utilization by Entity
26
 18. Delay Chain Summary
27
 19. Pad To Core Delay Chain Fanout
28
 20. Control Signals
29
 21. Global & Other Fast Signals
30
 22. Non-Global High Fan-Out Signals
31
 23. Fitter RAM Summary
32
 24. Interconnect Usage Summary
33
 25. LAB Logic Elements
34
 26. LAB-wide Signals
35
 27. LAB Signals Sourced
36
 28. LAB Signals Sourced Out
37
 29. LAB Distinct Inputs
38
 30. Fitter Device Options
39
 31. Operating Settings and Conditions
40 65 motilito
 32. Fitter Messages
41
 
42
 
43
 
44
----------------
45
; Legal Notice ;
46
----------------
47 88 motilito
Copyright (C) 1991-2011 Altera Corporation
48 65 motilito
Your use of Altera Corporation's design tools, logic functions
49
and other software and tools, and its AMPP partner logic
50
functions, and any output files from any of the foregoing
51
(including device programming or simulation files), and any
52
associated documentation or information are expressly subject
53
to the terms and conditions of the Altera Program License
54
Subscription Agreement, Altera MegaCore Function License
55
Agreement, or other applicable license agreement, including,
56
without limitation, that your use is for the sole purpose of
57
programming logic devices manufactured by Altera and sold by
58
Altera or its authorized distributors.  Please refer to the
59
applicable agreement for further details.
60
 
61
 
62
 
63 88 motilito
+-------------------------------------------------------------------------------+
64
; Fitter Summary                                                                ;
65
+------------------------------------+------------------------------------------+
66
; Fitter Status                      ; Successful - Sun Apr 29 15:06:08 2012    ;
67
; Quartus II 32-bit Version          ; 11.1 Build 173 11/01/2011 SJ Web Edition ;
68
; Revision Name                      ; l80soc                                   ;
69
; Top-level Entity Name              ; l80soc                                   ;
70
; Family                             ; Cyclone II                               ;
71
; Device                             ; EP2C8Q208C8                              ;
72
; Timing Models                      ; Final                                    ;
73
; Total logic elements               ; 631 / 8,256 ( 8 % )                      ;
74
;     Total combinational functions  ; 499 / 8,256 ( 6 % )                      ;
75
;     Dedicated logic registers      ; 361 / 8,256 ( 4 % )                      ;
76
; Total registers                    ; 361                                      ;
77
; Total pins                         ; 24 / 138 ( 17 % )                        ;
78
; Total virtual pins                 ; 0                                        ;
79
; Total memory bits                  ; 47,616 / 165,888 ( 29 % )                ;
80
; Embedded Multiplier 9-bit elements ; 0 / 36 ( 0 % )                           ;
81
; Total PLLs                         ; 0 / 2 ( 0 % )                            ;
82
+------------------------------------+------------------------------------------+
83 65 motilito
 
84
 
85
+----------------------------------------------------------------------------------------------------------------------------------------------+
86
; Fitter Settings                                                                                                                              ;
87
+----------------------------------------------------------------------------+--------------------------------+--------------------------------+
88
; Option                                                                     ; Setting                        ; Default Value                  ;
89
+----------------------------------------------------------------------------+--------------------------------+--------------------------------+
90
; Device                                                                     ; EP2C8Q208C8                    ;                                ;
91
; Minimum Core Junction Temperature                                          ; 0                              ;                                ;
92
; Maximum Core Junction Temperature                                          ; 85                             ;                                ;
93
; Fit Attempts to Skip                                                       ; 0                              ; 0.0                            ;
94
; Use smart compilation                                                      ; Off                            ; Off                            ;
95
; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                             ; On                             ;
96
; Enable compact report table                                                ; Off                            ; Off                            ;
97 88 motilito
; Auto Merge PLLs                                                            ; On                             ; On                             ;
98
; Ignore PLL Mode When Merging PLLs                                          ; Off                            ; Off                            ;
99 65 motilito
; Router Timing Optimization Level                                           ; Normal                         ; Normal                         ;
100
; Placement Effort Multiplier                                                ; 1.0                            ; 1.0                            ;
101
; Router Effort Multiplier                                                   ; 1.0                            ; 1.0                            ;
102
; Always Enable Input Buffers                                                ; Off                            ; Off                            ;
103
; Optimize Hold Timing                                                       ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ;
104
; Optimize Multi-Corner Timing                                               ; Off                            ; Off                            ;
105
; PowerPlay Power Optimization                                               ; Normal compilation             ; Normal compilation             ;
106
; Optimize Timing                                                            ; Normal compilation             ; Normal compilation             ;
107
; Optimize Timing for ECOs                                                   ; Off                            ; Off                            ;
108
; Regenerate full fit report during ECO compiles                             ; Off                            ; Off                            ;
109 88 motilito
; Optimize IOC Register Placement for Timing                                 ; Normal                         ; Normal                         ;
110 65 motilito
; Limit to One Fitting Attempt                                               ; Off                            ; Off                            ;
111
; Final Placement Optimizations                                              ; Automatically                  ; Automatically                  ;
112
; Fitter Aggressive Routability Optimizations                                ; Automatically                  ; Automatically                  ;
113
; Fitter Initial Placement Seed                                              ; 1                              ; 1                              ;
114
; PCI I/O                                                                    ; Off                            ; Off                            ;
115
; Weak Pull-Up Resistor                                                      ; Off                            ; Off                            ;
116
; Enable Bus-Hold Circuitry                                                  ; Off                            ; Off                            ;
117
; Auto Global Memory Control Signals                                         ; Off                            ; Off                            ;
118
; Auto Packed Registers                                                      ; Auto                           ; Auto                           ;
119
; Auto Delay Chains                                                          ; On                             ; On                             ;
120
; Perform Physical Synthesis for Combinational Logic for Fitting             ; Off                            ; Off                            ;
121
; Perform Physical Synthesis for Combinational Logic for Performance         ; Off                            ; Off                            ;
122
; Perform Register Duplication for Performance                               ; Off                            ; Off                            ;
123
; Perform Logic to Memory Mapping for Fitting                                ; Off                            ; Off                            ;
124
; Perform Register Retiming for Performance                                  ; Off                            ; Off                            ;
125
; Perform Asynchronous Signal Pipelining                                     ; Off                            ; Off                            ;
126
; Fitter Effort                                                              ; Auto Fit                       ; Auto Fit                       ;
127
; Physical Synthesis Effort Level                                            ; Normal                         ; Normal                         ;
128
; Auto Global Clock                                                          ; On                             ; On                             ;
129
; Auto Global Register Control Signals                                       ; On                             ; On                             ;
130
; Force Fitter to Avoid Periphery Placement Warnings                         ; Off                            ; Off                            ;
131
+----------------------------------------------------------------------------+--------------------------------+--------------------------------+
132
 
133
 
134
Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
135
+-------------------------------------+
136
; Parallel Compilation                ;
137
+----------------------------+--------+
138
; Processors                 ; Number ;
139
+----------------------------+--------+
140
; Number detected on machine ; 2      ;
141
; Maximum allowed            ; 1      ;
142
+----------------------------+--------+
143
 
144
 
145
+----------------------------------------------+
146
; Incremental Compilation Preservation Summary ;
147 88 motilito
+---------------------+------------------------+
148
; Type                ; Value                  ;
149
+---------------------+------------------------+
150
; Placement (by node) ;                        ;
151
;     -- Requested    ; 0 / 927 ( 0.00 % )     ;
152
;     -- Achieved     ; 0 / 927 ( 0.00 % )     ;
153
;                     ;                        ;
154
; Routing (by net)    ;                        ;
155
;     -- Requested    ; 0 / 0 ( 0.00 % )       ;
156
;     -- Achieved     ; 0 / 0 ( 0.00 % )       ;
157
+---------------------+------------------------+
158 65 motilito
 
159
 
160 88 motilito
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
161
; Incremental Compilation Partition Settings                                                                                                                                             ;
162
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
163
; Partition Name                 ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents                       ;
164
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
165
; Top                            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;                                ;
166
; hard_block:auto_generated_inst ; Auto-generated ; Source File       ; N/A                     ; Source File            ; N/A                          ; hard_block:auto_generated_inst ;
167
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
168 65 motilito
 
169
 
170 88 motilito
+------------------------------------------------------------------------------------------------------------+
171
; Incremental Compilation Placement Preservation                                                             ;
172
+--------------------------------+---------+-------------------+-------------------------+-------------------+
173
; Partition Name                 ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
174
+--------------------------------+---------+-------------------+-------------------------+-------------------+
175
; Top                            ; 924     ; 0                 ; N/A                     ; Source File       ;
176
; hard_block:auto_generated_inst ; 3       ; 0                 ; N/A                     ; Source File       ;
177
+--------------------------------+---------+-------------------+-------------------------+-------------------+
178 65 motilito
 
179
 
180
+--------------+
181
; Pin-Out File ;
182
+--------------+
183
The pin-out file can be found in C:/Projects/WiCores/light8080/dev/trunk/verilog/syn/altera_c2/l80soc.pin.
184
 
185
 
186
+-------------------------------------------------------------------------+
187
; Fitter Resource Usage Summary                                           ;
188
+---------------------------------------------+---------------------------+
189
; Resource                                    ; Usage                     ;
190
+---------------------------------------------+---------------------------+
191 88 motilito
; Total logic elements                        ; 631 / 8,256 ( 8 % )       ;
192
;     -- Combinational with no register       ; 270                       ;
193
;     -- Register only                        ; 132                       ;
194
;     -- Combinational with a register        ; 229                       ;
195 65 motilito
;                                             ;                           ;
196
; Logic element usage by number of LUT inputs ;                           ;
197 88 motilito
;     -- 4 input functions                    ; 331                       ;
198
;     -- 3 input functions                    ; 73                        ;
199
;     -- <=2 input functions                  ; 95                        ;
200
;     -- Register only                        ; 132                       ;
201 65 motilito
;                                             ;                           ;
202
; Logic elements by mode                      ;                           ;
203 88 motilito
;     -- normal mode                          ; 453                       ;
204 65 motilito
;     -- arithmetic mode                      ; 46                        ;
205
;                                             ;                           ;
206 66 motilito
; Total registers*                            ; 361 / 8,646 ( 4 % )       ;
207
;     -- Dedicated logic registers            ; 361 / 8,256 ( 4 % )       ;
208 65 motilito
;     -- I/O registers                        ; 0 / 390 ( 0 % )           ;
209
;                                             ;                           ;
210 88 motilito
; Total LABs:  partially or completely used   ; 51 / 516 ( 10 % )         ;
211 65 motilito
; User inserted logic elements                ; 0                         ;
212
; Virtual pins                                ; 0                         ;
213 66 motilito
; I/O pins                                    ; 24 / 138 ( 17 % )         ;
214 65 motilito
;     -- Clock pins                           ; 2 / 4 ( 50 % )            ;
215
; Global signals                              ; 2                         ;
216
; M4Ks                                        ; 12 / 36 ( 33 % )          ;
217
; Total block memory bits                     ; 47,616 / 165,888 ( 29 % ) ;
218
; Total block memory implementation bits      ; 55,296 / 165,888 ( 33 % ) ;
219
; Embedded Multiplier 9-bit elements          ; 0 / 36 ( 0 % )            ;
220
; PLLs                                        ; 0 / 2 ( 0 % )             ;
221
; Global clocks                               ; 2 / 8 ( 25 % )            ;
222
; JTAGs                                       ; 0 / 1 ( 0 % )             ;
223
; ASMI blocks                                 ; 0 / 1 ( 0 % )             ;
224
; CRC blocks                                  ; 0 / 1 ( 0 % )             ;
225 88 motilito
; Average interconnect usage (total/H/V)      ; 2% / 2% / 3%              ;
226
; Peak interconnect usage (total/H/V)         ; 8% / 6% / 11%             ;
227 65 motilito
; Maximum fan-out node                        ; clock~clkctrl             ;
228 66 motilito
; Maximum fan-out                             ; 373                       ;
229 65 motilito
; Highest non-global fan-out signal           ; reset                     ;
230 66 motilito
; Highest non-global fan-out                  ; 54                        ;
231 88 motilito
; Total fan-out                               ; 3157                      ;
232
; Average fan-out                             ; 3.19                      ;
233 65 motilito
+---------------------------------------------+---------------------------+
234
*  Register count does not include registers inside RAM blocks or DSP blocks.
235
 
236
 
237
 
238 88 motilito
+---------------------------------------------------------------------------------------------------+
239
; Fitter Partition Statistics                                                                       ;
240
+---------------------------------------------+--------------------+--------------------------------+
241
; Statistic                                   ; Top                ; hard_block:auto_generated_inst ;
242
+---------------------------------------------+--------------------+--------------------------------+
243
; Difficulty Clustering Region                ; Low                ; Low                            ;
244
;                                             ;                    ;                                ;
245
; Total logic elements                        ; 631 / 8256 ( 7 % ) ; 0 / 8256 ( 0 % )               ;
246
;     -- Combinational with no register       ; 270                ; 0                              ;
247
;     -- Register only                        ; 132                ; 0                              ;
248
;     -- Combinational with a register        ; 229                ; 0                              ;
249
;                                             ;                    ;                                ;
250
; Logic element usage by number of LUT inputs ;                    ;                                ;
251
;     -- 4 input functions                    ; 331                ; 0                              ;
252
;     -- 3 input functions                    ; 73                 ; 0                              ;
253
;     -- <=2 input functions                  ; 95                 ; 0                              ;
254
;     -- Register only                        ; 132                ; 0                              ;
255
;                                             ;                    ;                                ;
256
; Logic elements by mode                      ;                    ;                                ;
257
;     -- normal mode                          ; 453                ; 0                              ;
258
;     -- arithmetic mode                      ; 46                 ; 0                              ;
259
;                                             ;                    ;                                ;
260
; Total registers                             ; 361                ; 0                              ;
261
;     -- Dedicated logic registers            ; 361 / 8256 ( 4 % ) ; 0 / 8256 ( 0 % )               ;
262
;     -- I/O registers                        ; 0                  ; 0                              ;
263
;                                             ;                    ;                                ;
264
; Total LABs:  partially or completely used   ; 51 / 516 ( 9 % )   ; 0 / 516 ( 0 % )                ;
265
;                                             ;                    ;                                ;
266
; Virtual pins                                ; 0                  ; 0                              ;
267
; I/O pins                                    ; 24                 ; 0                              ;
268
; Embedded Multiplier 9-bit elements          ; 0 / 36 ( 0 % )     ; 0 / 36 ( 0 % )                 ;
269
; Total memory bits                           ; 47616              ; 0                              ;
270
; Total RAM block bits                        ; 55296              ; 0                              ;
271
; M4K                                         ; 12 / 36 ( 33 % )   ; 0 / 36 ( 0 % )                 ;
272
; Clock control block                         ; 2 / 10 ( 20 % )    ; 0 / 10 ( 0 % )                 ;
273
;                                             ;                    ;                                ;
274
; Connections                                 ;                    ;                                ;
275
;     -- Input Connections                    ; 0                  ; 0                              ;
276
;     -- Registered Input Connections         ; 0                  ; 0                              ;
277
;     -- Output Connections                   ; 0                  ; 0                              ;
278
;     -- Registered Output Connections        ; 0                  ; 0                              ;
279
;                                             ;                    ;                                ;
280
; Internal Connections                        ;                    ;                                ;
281
;     -- Total Connections                    ; 3196               ; 0                              ;
282
;     -- Registered Connections               ; 978                ; 0                              ;
283
;                                             ;                    ;                                ;
284
; External Connections                        ;                    ;                                ;
285
;     -- Top                                  ; 0                  ; 0                              ;
286
;     -- hard_block:auto_generated_inst       ; 0                  ; 0                              ;
287
;                                             ;                    ;                                ;
288
; Partition Interface                         ;                    ;                                ;
289
;     -- Input Ports                          ; 7                  ; 0                              ;
290
;     -- Output Ports                         ; 1                  ; 0                              ;
291
;     -- Bidir Ports                          ; 16                 ; 0                              ;
292
;                                             ;                    ;                                ;
293
; Registered Ports                            ;                    ;                                ;
294
;     -- Registered Input Ports               ; 0                  ; 0                              ;
295
;     -- Registered Output Ports              ; 0                  ; 0                              ;
296
;                                             ;                    ;                                ;
297
; Port Connectivity                           ;                    ;                                ;
298
;     -- Input Ports driven by GND            ; 0                  ; 0                              ;
299
;     -- Output Ports driven by GND           ; 0                  ; 0                              ;
300
;     -- Input Ports driven by VCC            ; 0                  ; 0                              ;
301
;     -- Output Ports driven by VCC           ; 0                  ; 0                              ;
302
;     -- Input Ports with no Source           ; 0                  ; 0                              ;
303
;     -- Output Ports with no Source          ; 0                  ; 0                              ;
304
;     -- Input Ports with no Fanout           ; 0                  ; 0                              ;
305
;     -- Output Ports with no Fanout          ; 0                  ; 0                              ;
306
+---------------------------------------------+--------------------+--------------------------------+
307
 
308
 
309 66 motilito
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
310
; Input Pins                                                                                                                                                                                                                                                      ;
311
+-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
312
; Name      ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ;
313
+-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
314
; clock     ; 23    ; 1        ; 0            ; 9            ; 0           ; 1                     ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
315 88 motilito
; extint[0] ; 176   ; 2        ; 23           ; 19           ; 1           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
316 66 motilito
; extint[1] ; 27    ; 1        ; 0            ; 9            ; 2           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
317 88 motilito
; extint[2] ; 127   ; 3        ; 34           ; 9            ; 1           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
318 66 motilito
; extint[3] ; 28    ; 1        ; 0            ; 9            ; 3           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
319
; reset     ; 24    ; 1        ; 0            ; 9            ; 1           ; 55                    ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
320 88 motilito
; rxd       ; 94    ; 4        ; 28           ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
321 66 motilito
+-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
322 65 motilito
 
323
 
324
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
325
; Output Pins                                                                                                                                                                                                                                                                                                                        ;
326
+------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
327
; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
328
+------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
329 88 motilito
; txd  ; 99    ; 4        ; 30           ; 0            ; 0           ; no              ; no                     ; no            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; -                    ; -                   ;
330 65 motilito
+------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
331
 
332
 
333
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
334
; Bidir Pins                                                                                                                                                                                                                                                                                                                                                                                    ;
335
+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
336
; Name     ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
337
+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
338 88 motilito
; p1dio[0] ; 77    ; 4        ; 18           ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p1dir[0]             ; -                   ;
339
; p1dio[1] ; 90    ; 4        ; 28           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p1dir[1]             ; -                   ;
340
; p1dio[2] ; 118   ; 3        ; 34           ; 7            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p1dir[2]             ; -                   ;
341
; p1dio[3] ; 81    ; 4        ; 23           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p1dir[3]             ; -                   ;
342
; p1dio[4] ; 76    ; 4        ; 18           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p1dir[4]             ; -                   ;
343
; p1dio[5] ; 80    ; 4        ; 23           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p1dir[5]             ; -                   ;
344
; p1dio[6] ; 89    ; 4        ; 28           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p1dir[6]             ; -                   ;
345
; p1dio[7] ; 92    ; 4        ; 28           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p1dir[7]             ; -                   ;
346
; p2dio[0] ; 82    ; 4        ; 23           ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p2dir[0]             ; -                   ;
347
; p2dio[1] ; 87    ; 4        ; 25           ; 0            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p2dir[1]             ; -                   ;
348
; p2dio[2] ; 128   ; 3        ; 34           ; 9            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p2dir[2]             ; -                   ;
349
; p2dio[3] ; 116   ; 3        ; 34           ; 5            ; 1           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p2dir[3]             ; -                   ;
350
; p2dio[4] ; 88    ; 4        ; 25           ; 0            ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p2dir[4]             ; -                   ;
351
; p2dio[5] ; 173   ; 2        ; 25           ; 19           ; 0           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p2dir[5]             ; -                   ;
352
; p2dio[6] ; 84    ; 4        ; 25           ; 0            ; 3           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p2dir[6]             ; -                   ;
353
; p2dio[7] ; 86    ; 4        ; 25           ; 0            ; 2           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; no              ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 0 pF ; p2dir[7]             ; -                   ;
354 65 motilito
+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------------+------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+
355
 
356
 
357
+------------------------------------------------------------+
358
; I/O Bank Usage                                             ;
359
+----------+------------------+---------------+--------------+
360
; I/O Bank ; Usage            ; VCCIO Voltage ; VREF Voltage ;
361
+----------+------------------+---------------+--------------+
362 88 motilito
; 1        ; 6 / 32 ( 19 % )  ; 3.3V          ; --           ;
363
; 2        ; 2 / 35 ( 6 % )   ; 3.3V          ; --           ;
364
; 3        ; 5 / 35 ( 14 % )  ; 3.3V          ; --           ;
365
; 4        ; 14 / 36 ( 39 % ) ; 3.3V          ; --           ;
366 65 motilito
+----------+------------------+---------------+--------------+
367
 
368
 
369
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
370
; All Package Pins                                                                                                                                                       ;
371
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
372
; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                           ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
373
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
374
; 1        ; 0          ; 1        ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
375
; 2        ; 1          ; 1        ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
376
; 3        ; 2          ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
377
; 4        ; 3          ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
378
; 5        ; 4          ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
379
; 6        ; 5          ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
380
; 7        ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
381
; 8        ; 6          ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
382
; 9        ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
383
; 10       ; 7          ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
384
; 11       ; 8          ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
385
; 12       ; 9          ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
386
; 13       ; 10         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
387 88 motilito
; 14       ; 18         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
388 65 motilito
; 15       ; 19         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
389
; 16       ; 20         ; 1        ; #TDO                                     ; output ;              ;         ; --         ;                 ; --       ; --           ;
390
; 17       ; 21         ; 1        ; #TMS                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
391
; 18       ; 22         ; 1        ; #TCK                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
392
; 19       ; 23         ; 1        ; #TDI                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
393
; 20       ; 24         ; 1        ; ^DATA0                                   ; input  ;              ;         ; --         ;                 ; --       ; --           ;
394
; 21       ; 25         ; 1        ; ^DCLK                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
395
; 22       ; 26         ; 1        ; ^nCE                                     ;        ;              ;         ; --         ;                 ; --       ; --           ;
396
; 23       ; 27         ; 1        ; clock                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
397
; 24       ; 28         ; 1        ; reset                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
398
; 25       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
399
; 26       ; 29         ; 1        ; ^nCONFIG                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
400 66 motilito
; 27       ; 30         ; 1        ; extint[1]                                ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
401
; 28       ; 31         ; 1        ; extint[3]                                ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
402 65 motilito
; 29       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
403
; 30       ; 32         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
404
; 31       ; 33         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
405
; 32       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
406
; 33       ; 35         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
407 66 motilito
; 34       ; 36         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
408
; 35       ; 37         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
409 65 motilito
; 36       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
410 66 motilito
; 37       ; 39         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
411 65 motilito
; 38       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
412
; 39       ; 43         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
413
; 40       ; 44         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
414
; 41       ; 45         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
415
; 42       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
416
; 43       ; 48         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
417
; 44       ; 49         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
418
; 45       ; 50         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
419
; 46       ; 51         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
420
; 47       ; 52         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
421
; 48       ; 53         ; 1        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
422
; 49       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
423
; 50       ;            ;          ; GND_PLL1                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
424
; 51       ;            ;          ; VCCD_PLL1                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
425
; 52       ;            ;          ; GND_PLL1                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
426
; 53       ;            ;          ; VCCA_PLL1                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
427
; 54       ;            ;          ; GNDA_PLL1                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
428
; 55       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
429
; 56       ; 54         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
430
; 57       ; 55         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
431
; 58       ; 56         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
432
; 59       ; 57         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
433 66 motilito
; 60       ; 58         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
434
; 61       ; 59         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
435 65 motilito
; 62       ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
436
; 63       ; 60         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
437 66 motilito
; 64       ; 61         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
438 65 motilito
; 65       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
439
; 66       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
440 66 motilito
; 67       ; 69         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
441
; 68       ; 70         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
442
; 69       ; 71         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
443
; 70       ; 74         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
444 65 motilito
; 71       ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
445 66 motilito
; 72       ; 75         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
446 65 motilito
; 73       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
447 88 motilito
; 74       ; 76         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
448
; 75       ; 77         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
449
; 76       ; 78         ; 4        ; p1dio[4]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
450
; 77       ; 79         ; 4        ; p1dio[0]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
451 65 motilito
; 78       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
452
; 79       ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
453 88 motilito
; 80       ; 82         ; 4        ; p1dio[5]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
454
; 81       ; 83         ; 4        ; p1dio[3]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
455
; 82       ; 84         ; 4        ; p2dio[0]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
456 65 motilito
; 83       ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
457 88 motilito
; 84       ; 85         ; 4        ; p2dio[6]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
458 65 motilito
; 85       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
459 88 motilito
; 86       ; 86         ; 4        ; p2dio[7]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
460
; 87       ; 87         ; 4        ; p2dio[1]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
461
; 88       ; 88         ; 4        ; p2dio[4]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
462
; 89       ; 89         ; 4        ; p1dio[6]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
463
; 90       ; 90         ; 4        ; p1dio[1]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
464 65 motilito
; 91       ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
465 88 motilito
; 92       ; 91         ; 4        ; p1dio[7]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
466 65 motilito
; 93       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
467 88 motilito
; 94       ; 92         ; 4        ; rxd                                      ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
468 65 motilito
; 95       ; 93         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
469
; 96       ; 94         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
470
; 97       ; 95         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
471
; 98       ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
472 88 motilito
; 99       ; 96         ; 4        ; txd                                      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
473 65 motilito
; 100      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
474
; 101      ; 97         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
475
; 102      ; 98         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
476
; 103      ; 99         ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
477
; 104      ; 100        ; 4        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
478
; 105      ; 101        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
479
; 106      ; 102        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
480
; 107      ; 105        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
481
; 108      ; 106        ; 3        ; ~LVDS54p/nCEO~                           ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
482
; 109      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
483
; 110      ; 107        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
484
; 111      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
485
; 112      ; 108        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
486
; 113      ; 109        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
487
; 114      ; 110        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
488
; 115      ; 112        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
489 88 motilito
; 116      ; 113        ; 3        ; p2dio[3]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
490 65 motilito
; 117      ; 114        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
491 88 motilito
; 118      ; 117        ; 3        ; p1dio[2]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
492 65 motilito
; 119      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
493
; 120      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
494
; 121      ; 121        ; 3        ; ^nSTATUS                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
495
; 122      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
496
; 123      ; 122        ; 3        ; ^CONF_DONE                               ;        ;              ;         ; --         ;                 ; --       ; --           ;
497
; 124      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
498
; 125      ; 123        ; 3        ; ^MSEL1                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
499
; 126      ; 124        ; 3        ; ^MSEL0                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
500 88 motilito
; 127      ; 125        ; 3        ; extint[2]                                ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
501
; 128      ; 126        ; 3        ; p2dio[2]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; Off          ;
502 65 motilito
; 129      ; 127        ; 3        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
503
; 130      ; 128        ; 3        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
504
; 131      ; 129        ; 3        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
505
; 132      ; 130        ; 3        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
506
; 133      ; 131        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
507
; 134      ; 132        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
508
; 135      ; 133        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
509
; 136      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
510
; 137      ; 134        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
511
; 138      ; 135        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
512
; 139      ; 136        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
513
; 140      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
514
; 141      ; 137        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
515
; 142      ; 138        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
516
; 143      ; 141        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
517
; 144      ; 142        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
518 88 motilito
; 145      ; 143        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
519 65 motilito
; 146      ; 149        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
520
; 147      ; 150        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
521
; 148      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
522 88 motilito
; 149      ; 151        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
523
; 150      ; 152        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
524 65 motilito
; 151      ; 153        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
525
; 152      ; 154        ; 3        ; GND*                                     ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
526
; 153      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
527
; 154      ;            ;          ; GND_PLL2                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
528
; 155      ;            ;          ; VCCD_PLL2                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
529
; 156      ;            ;          ; GND_PLL2                                 ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
530
; 157      ;            ;          ; VCCA_PLL2                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
531
; 158      ;            ;          ; GNDA_PLL2                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
532
; 159      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
533
; 160      ; 155        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
534
; 161      ; 156        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
535
; 162      ; 157        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
536
; 163      ; 158        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
537
; 164      ; 159        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
538
; 165      ; 160        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
539
; 166      ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
540
; 167      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
541
; 168      ; 161        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
542
; 169      ; 162        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
543
; 170      ; 163        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
544 88 motilito
; 171      ; 164        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
545 65 motilito
; 172      ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
546 88 motilito
; 173      ; 165        ; 2        ; p2dio[5]                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
547 65 motilito
; 174      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
548 88 motilito
; 175      ; 168        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
549
; 176      ; 169        ; 2        ; extint[0]                                ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
550 65 motilito
; 177      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
551
; 178      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
552 88 motilito
; 179      ; 173        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
553
; 180      ; 174        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
554
; 181      ; 175        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
555
; 182      ; 176        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
556 65 motilito
; 183      ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
557
; 184      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
558 88 motilito
; 185      ; 180        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
559 65 motilito
; 186      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
560 88 motilito
; 187      ; 181        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
561
; 188      ; 182        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
562
; 189      ; 183        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
563 65 motilito
; 190      ;            ;          ; VCCINT                                   ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
564 88 motilito
; 191      ; 184        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
565
; 192      ; 185        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
566 65 motilito
; 193      ; 186        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
567
; 194      ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
568
; 195      ; 187        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
569
; 196      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
570
; 197      ; 191        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
571
; 198      ; 192        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
572
; 199      ; 195        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
573
; 200      ; 196        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
574
; 201      ; 197        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
575
; 202      ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
576
; 203      ; 198        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
577
; 204      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
578
; 205      ; 199        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
579
; 206      ; 200        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
580
; 207      ; 201        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
581
; 208      ; 202        ; 2        ; GND*                                     ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
582
+----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
583
Note: Pin directions (input, output or bidir) are based on device operating in user mode.
584
 
585
 
586
+-------------------------------------------------------------------------------+
587
; Output Pin Default Load For Reported TCO                                      ;
588
+----------------------------------+-------+------------------------------------+
589
; I/O Standard                     ; Load  ; Termination Resistance             ;
590
+----------------------------------+-------+------------------------------------+
591
; 3.3-V LVTTL                      ; 0 pF  ; Not Available                      ;
592
; 3.3-V LVCMOS                     ; 0 pF  ; Not Available                      ;
593
; 2.5 V                            ; 0 pF  ; Not Available                      ;
594
; 1.8 V                            ; 0 pF  ; Not Available                      ;
595
; 1.5 V                            ; 0 pF  ; Not Available                      ;
596
; 3.3-V PCI                        ; 10 pF ; 25 Ohm (Parallel)                  ;
597
; 3.3-V PCI-X                      ; 10 pF ; 25 Ohm (Parallel)                  ;
598
; SSTL-2 Class I                   ; 0 pF  ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
599
; SSTL-2 Class II                  ; 0 pF  ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
600
; SSTL-18 Class I                  ; 0 pF  ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
601
; SSTL-18 Class II                 ; 0 pF  ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
602
; 1.5-V HSTL Class I               ; 0 pF  ; 50 Ohm (Parallel)                  ;
603
; 1.5-V HSTL Class II              ; 0 pF  ; 25 Ohm (Parallel)                  ;
604
; 1.8-V HSTL Class I               ; 0 pF  ; 50 Ohm (Parallel)                  ;
605
; 1.8-V HSTL Class II              ; 0 pF  ; 25 Ohm (Parallel)                  ;
606
; Differential SSTL-2              ; 0 pF  ; (See SSTL-2)                       ;
607
; Differential 2.5-V SSTL Class II ; 0 pF  ; (See SSTL-2 Class II)              ;
608
; Differential 1.8-V SSTL Class I  ; 0 pF  ; (See 1.8-V SSTL Class I)           ;
609
; Differential 1.8-V SSTL Class II ; 0 pF  ; (See 1.8-V SSTL Class II)          ;
610
; Differential 1.5-V HSTL Class I  ; 0 pF  ; (See 1.5-V HSTL Class I)           ;
611
; Differential 1.5-V HSTL Class II ; 0 pF  ; (See 1.5-V HSTL Class II)          ;
612
; Differential 1.8-V HSTL Class I  ; 0 pF  ; (See 1.8-V HSTL Class I)           ;
613
; Differential 1.8-V HSTL Class II ; 0 pF  ; (See 1.8-V HSTL Class II)          ;
614
; LVDS                             ; 0 pF  ; 100 Ohm (Differential)             ;
615
; mini-LVDS                        ; 0 pF  ; 100 Ohm (Differential)             ;
616
; RSDS                             ; 0 pF  ; 100 Ohm (Differential)             ;
617
; Simple RSDS                      ; 0 pF  ; Not Available                      ;
618
; Differential LVPECL              ; 0 pF  ; 100 Ohm (Differential)             ;
619
+----------------------------------+-------+------------------------------------+
620
Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables.
621
 
622
 
623
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
624
; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                                  ;
625
+----------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------------------------------------------------------+--------------+
626
; Compilation Hierarchy Node                   ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                      ; Library Name ;
627
+----------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------------------------------------------------------+--------------+
628 88 motilito
; |l80soc                                      ; 631 (103)   ; 361 (62)                  ; 0 (0)         ; 47616       ; 12   ; 0            ; 0       ; 0         ; 24   ; 0            ; 270 (37)     ; 132 (36)          ; 229 (18)         ; |l80soc                                                                                  ;              ;
629
;    |intr_ctrl:intrc|                         ; 25 (25)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 1 (1)             ; 17 (17)          ; |l80soc|intr_ctrl:intrc                                                                  ;              ;
630
;    |light8080:cpu|                           ; 429 (429)   ; 222 (222)                 ; 0 (0)         ; 14848       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 207 (207)    ; 77 (77)           ; 145 (145)        ; |l80soc|light8080:cpu                                                                    ;              ;
631
;       |micro_rom:rom|                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 14848       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |l80soc|light8080:cpu|micro_rom:rom                                                      ;              ;
632 65 motilito
;          |altsyncram:Ram0_rtl_0|             ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 14848       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |l80soc|light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0                                ;              ;
633
;             |altsyncram_ts61:auto_generated| ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 14848       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |l80soc|light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated ;              ;
634 88 motilito
;    |ram_image:ram|                           ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 32768       ; 8    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |l80soc|ram_image:ram                                                                    ;              ;
635
;       |altsyncram:ram_rtl_0|                 ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 32768       ; 8    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |l80soc|ram_image:ram|altsyncram:ram_rtl_0                                               ;              ;
636
;          |altsyncram_tv81:auto_generated|    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 32768       ; 8    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |l80soc|ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated                ;              ;
637
;    |uart:uart|                               ; 90 (90)     ; 63 (63)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 19 (19)      ; 18 (18)           ; 53 (53)          ; |l80soc|uart:uart                                                                        ;              ;
638 65 motilito
+----------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------------------------------------------------------+--------------+
639
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
640
 
641
 
642 66 motilito
+------------------------------------------------------------------------------------+
643
; Delay Chain Summary                                                                ;
644
+-----------+----------+---------------+---------------+-----------------------+-----+
645
; Name      ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ;
646
+-----------+----------+---------------+---------------+-----------------------+-----+
647
; p1dio[0]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
648
; p1dio[1]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
649
; p1dio[2]  ; Bidir    ; (6) 4641 ps   ; (6) 4641 ps   ; --                    ; --  ;
650
; p1dio[3]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
651
; p1dio[4]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
652
; p1dio[5]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
653 88 motilito
; p1dio[6]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
654 66 motilito
; p1dio[7]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
655
; p2dio[0]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
656
; p2dio[1]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
657 88 motilito
; p2dio[2]  ; Bidir    ; (6) 4641 ps   ; (6) 4641 ps   ; --                    ; --  ;
658
; p2dio[3]  ; Bidir    ; (6) 4641 ps   ; (6) 4641 ps   ; --                    ; --  ;
659 66 motilito
; p2dio[4]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
660
; p2dio[5]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
661
; p2dio[6]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
662
; p2dio[7]  ; Bidir    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
663
; txd       ; Output   ; --            ; --            ; --                    ; --  ;
664
; clock     ; Input    ; (0) 351 ps    ; (0) 351 ps    ; --                    ; --  ;
665
; reset     ; Input    ; (0) 351 ps    ; (0) 351 ps    ; --                    ; --  ;
666
; extint[1] ; Input    ; (0) 351 ps    ; (0) 351 ps    ; --                    ; --  ;
667
; extint[3] ; Input    ; (0) 351 ps    ; (0) 351 ps    ; --                    ; --  ;
668
; extint[2] ; Input    ; (6) 4641 ps   ; (6) 4641 ps   ; --                    ; --  ;
669 88 motilito
; extint[0] ; Input    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
670 66 motilito
; rxd       ; Input    ; (6) 4686 ps   ; (6) 4686 ps   ; --                    ; --  ;
671
+-----------+----------+---------------+---------------+-----------------------+-----+
672 65 motilito
 
673
 
674 66 motilito
+----------------------------------------------------------------+
675
; Pad To Core Delay Chain Fanout                                 ;
676
+----------------------------------+-------------------+---------+
677
; Source Pin / Fanout              ; Pad To Core Index ; Setting ;
678
+----------------------------------+-------------------+---------+
679
; p1dio[0]                         ;                   ;         ;
680 88 motilito
;      - io_dout~3                 ; 0                 ; 6       ;
681 66 motilito
; p1dio[1]                         ;                   ;         ;
682
;      - io_dout~7                 ; 0                 ; 6       ;
683
; p1dio[2]                         ;                   ;         ;
684
;      - io_dout~9                 ; 0                 ; 6       ;
685
; p1dio[3]                         ;                   ;         ;
686
;      - io_dout~11                ; 0                 ; 6       ;
687
; p1dio[4]                         ;                   ;         ;
688
;      - io_dout~14                ; 1                 ; 6       ;
689
; p1dio[5]                         ;                   ;         ;
690
;      - io_dout~15                ; 0                 ; 6       ;
691
; p1dio[6]                         ;                   ;         ;
692 88 motilito
;      - io_dout~17                ; 1                 ; 6       ;
693 66 motilito
; p1dio[7]                         ;                   ;         ;
694
;      - io_dout~19                ; 0                 ; 6       ;
695
; p2dio[0]                         ;                   ;         ;
696
;      - io_dout~2                 ; 0                 ; 6       ;
697
; p2dio[1]                         ;                   ;         ;
698
;      - io_dout~7                 ; 0                 ; 6       ;
699
; p2dio[2]                         ;                   ;         ;
700
;      - io_dout~9                 ; 0                 ; 6       ;
701
; p2dio[3]                         ;                   ;         ;
702 88 motilito
;      - io_dout~11                ; 1                 ; 6       ;
703 66 motilito
; p2dio[4]                         ;                   ;         ;
704
;      - io_dout~13                ; 1                 ; 6       ;
705
; p2dio[5]                         ;                   ;         ;
706 88 motilito
;      - io_dout~15                ; 0                 ; 6       ;
707 66 motilito
; p2dio[6]                         ;                   ;         ;
708 88 motilito
;      - io_dout~17                ; 1                 ; 6       ;
709 66 motilito
; p2dio[7]                         ;                   ;         ;
710
;      - io_dout~19                ; 1                 ; 6       ;
711
; clock                            ;                   ;         ;
712
; reset                            ;                   ;         ;
713
; extint[1]                        ;                   ;         ;
714
; extint[3]                        ;                   ;         ;
715
; extint[2]                        ;                   ;         ;
716 88 motilito
;      - intr_ctrl:intrc|act_int~4 ; 1                 ; 6       ;
717 66 motilito
; extint[0]                        ;                   ;         ;
718 88 motilito
;      - intr_ctrl:intrc|act_int~6 ; 0                 ; 6       ;
719 66 motilito
; rxd                              ;                   ;         ;
720
;      - uart:uart|sserIn~feeder   ; 0                 ; 6       ;
721
+----------------------------------+-------------------+---------+
722 65 motilito
 
723
 
724
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
725
; Control Signals                                                                                                                                                          ;
726
+--------------------------------+--------------------+---------+---------------------------+--------+----------------------+------------------+---------------------------+
727
; Name                           ; Location           ; Fan-Out ; Usage                     ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
728
+--------------------------------+--------------------+---------+---------------------------+--------+----------------------+------------------+---------------------------+
729 66 motilito
; clock                          ; PIN_23             ; 373     ; Clock                     ; yes    ; Global Clock         ; GCLK2            ; --                        ;
730 88 motilito
; comb~0                         ; LCCOMB_X23_Y12_N26 ; 8       ; Write enable              ; no     ; --                   ; --               ; --                        ;
731
; intr_ctrl:intrc|Selector2~2    ; LCCOMB_X21_Y10_N18 ; 6       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
732
; intr_ctrl:intrc|cpu_inst[5]~7  ; LCCOMB_X23_Y10_N18 ; 2       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
733
; intr_ena[0]~1                  ; LCCOMB_X23_Y8_N22  ; 4       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
734
; io_dout[4]~5                   ; LCCOMB_X24_Y7_N18  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
735
; light8080:cpu|Equal18~0        ; LCCOMB_X26_Y10_N0  ; 4       ; Sync. load                ; no     ; --                   ; --               ; --                        ;
736
; light8080:cpu|T1[4]~3          ; LCCOMB_X24_Y12_N14 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
737
; light8080:cpu|T2[4]~3          ; LCCOMB_X23_Y11_N30 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
738
; light8080:cpu|addr_low[3]~1    ; LCCOMB_X28_Y10_N18 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
739
; light8080:cpu|flag_reg[6]~10   ; LCCOMB_X26_Y10_N14 ; 3       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
740
; light8080:cpu|rbank~209        ; LCCOMB_X25_Y12_N16 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
741
; light8080:cpu|rbank~211        ; LCCOMB_X25_Y14_N16 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
742
; light8080:cpu|rbank~213        ; LCCOMB_X25_Y14_N10 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
743
; light8080:cpu|rbank~215        ; LCCOMB_X25_Y12_N18 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
744
; light8080:cpu|rbank~217        ; LCCOMB_X25_Y14_N20 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
745
; light8080:cpu|rbank~219        ; LCCOMB_X24_Y13_N20 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
746
; light8080:cpu|rbank~221        ; LCCOMB_X24_Y13_N2  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
747
; light8080:cpu|rbank~223        ; LCCOMB_X26_Y14_N12 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
748
; light8080:cpu|rbank~225        ; LCCOMB_X26_Y12_N2  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
749
; light8080:cpu|rbank~227        ; LCCOMB_X25_Y10_N18 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
750
; light8080:cpu|rbank~229        ; LCCOMB_X25_Y13_N14 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
751
; light8080:cpu|rbank~231        ; LCCOMB_X26_Y12_N30 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
752
; light8080:cpu|rbank~233        ; LCCOMB_X25_Y10_N10 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
753
; light8080:cpu|rbank~235        ; LCCOMB_X25_Y12_N4  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
754
; light8080:cpu|rbank~237        ; LCCOMB_X25_Y12_N26 ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
755
; light8080:cpu|rbank~239        ; LCCOMB_X26_Y14_N8  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
756
; light8080:cpu|uc_decode~0      ; LCCOMB_X28_Y13_N18 ; 28      ; Clock enable              ; no     ; --                   ; --               ; --                        ;
757
; light8080:cpu|uc_ret_addr[6]~1 ; LCCOMB_X28_Y15_N0  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
758
; light8080:cpu|ucode_field2[7]  ; LCFF_X28_Y10_N9    ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
759
; p1dir[0]                       ; LCFF_X26_Y7_N15    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
760
; p1dir[0]~0                     ; LCCOMB_X26_Y7_N14  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
761
; p1dir[1]                       ; LCFF_X26_Y7_N21    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
762
; p1dir[2]                       ; LCFF_X26_Y7_N3     ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
763
; p1dir[3]                       ; LCFF_X26_Y7_N5     ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
764
; p1dir[4]                       ; LCFF_X26_Y7_N19    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
765
; p1dir[5]                       ; LCFF_X26_Y7_N29    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
766
; p1dir[6]                       ; LCFF_X26_Y7_N23    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
767
; p1dir[7]                       ; LCFF_X26_Y7_N13    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
768
; p1reg[0]~0                     ; LCCOMB_X23_Y7_N24  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
769
; p2dir[0]                       ; LCFF_X26_Y8_N5     ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
770
; p2dir[0]~0                     ; LCCOMB_X26_Y8_N4   ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
771
; p2dir[1]                       ; LCFF_X26_Y8_N23    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
772
; p2dir[2]                       ; LCFF_X26_Y8_N25    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
773
; p2dir[3]                       ; LCFF_X26_Y8_N31    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
774
; p2dir[4]                       ; LCFF_X26_Y8_N9     ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
775
; p2dir[5]                       ; LCFF_X26_Y8_N11    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
776
; p2dir[6]                       ; LCFF_X26_Y8_N13    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
777
; p2dir[7]                       ; LCFF_X26_Y8_N19    ; 1       ; Output enable             ; no     ; --                   ; --               ; --                        ;
778
; p2reg[0]~0                     ; LCCOMB_X25_Y7_N6   ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
779 66 motilito
; reset                          ; PIN_24             ; 55      ; Clock enable, Sync. clear ; no     ; --                   ; --               ; --                        ;
780
; reset                          ; PIN_24             ; 138     ; Async. clear              ; yes    ; Global Clock         ; GCLK1            ; --                        ;
781 88 motilito
; uart:uart|Equal5~10            ; LCCOMB_X25_Y8_N28  ; 17      ; Sync. clear               ; no     ; --                   ; --               ; --                        ;
782
; uart:uart|rxBaudCnt[0]~1       ; LCCOMB_X21_Y8_N10  ; 4       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
783
; uart:uart|rxBitCnt[1]~12       ; LCCOMB_X22_Y8_N4   ; 4       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
784
; uart:uart|rxBusy               ; LCFF_X21_Y8_N13    ; 12      ; Sync. clear               ; no     ; --                   ; --               ; --                        ;
785
; uart:uart|rxData[0]~0          ; LCCOMB_X21_Y8_N26  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
786
; uart:uart|rxShiftReg[0]~0      ; LCCOMB_X21_Y8_N16  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
787
; uart:uart|txBitCnt[3]~6        ; LCCOMB_X28_Y8_N14  ; 4       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
788
; uart:uart|txBusy               ; LCFF_X28_Y8_N17    ; 24      ; Sync. clear               ; no     ; --                   ; --               ; --                        ;
789
; uart:uart|txShiftReg[1]~4      ; LCCOMB_X28_Y9_N18  ; 7       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
790
; uart:uart|txShiftReg~2         ; LCCOMB_X28_Y9_N22  ; 2       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
791
; uartbaud[15]~3                 ; LCCOMB_X23_Y8_N28  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
792
; uartbaud[7]~2                  ; LCCOMB_X25_Y8_N26  ; 8       ; Clock enable              ; no     ; --                   ; --               ; --                        ;
793 65 motilito
+--------------------------------+--------------------+---------+---------------------------+--------+----------------------+------------------+---------------------------+
794
 
795
 
796
+--------------------------------------------------------------------------------------------------+
797
; Global & Other Fast Signals                                                                      ;
798
+-------+----------+---------+----------------------+------------------+---------------------------+
799
; Name  ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
800
+-------+----------+---------+----------------------+------------------+---------------------------+
801 66 motilito
; clock ; PIN_23   ; 373     ; Global Clock         ; GCLK2            ; --                        ;
802
; reset ; PIN_24   ; 138     ; Global Clock         ; GCLK1            ; --                        ;
803 65 motilito
+-------+----------+---------+----------------------+------------------+---------------------------+
804
 
805
 
806
+----------------------------------------------------------------------------------------------------------+
807
; Non-Global High Fan-Out Signals                                                                          ;
808
+------------------------------------------------------------------------------------------------+---------+
809
; Name                                                                                           ; Fan-Out ;
810
+------------------------------------------------------------------------------------------------+---------+
811 66 motilito
; reset                                                                                          ; 54      ;
812 88 motilito
; light8080:cpu|inta_reg                                                                         ; 42      ;
813 65 motilito
; light8080:cpu|Mux10~1                                                                          ; 31      ;
814
; light8080:cpu|Mux11~1                                                                          ; 31      ;
815
; light8080:cpu|Mux8~1                                                                           ; 31      ;
816
; light8080:cpu|Mux9~1                                                                           ; 31      ;
817 88 motilito
; light8080:cpu|uc_decode~0                                                                      ; 28      ;
818 65 motilito
; uart:uart|txBusy                                                                               ; 24      ;
819 88 motilito
; light8080:cpu|ucode_field2[4]                                                                  ; 22      ;
820 65 motilito
; light8080:cpu|ucode_field2[1]                                                                  ; 21      ;
821
; light8080:cpu|Mux27~1                                                                          ; 20      ;
822 66 motilito
; light8080:cpu|DO[4]~1                                                                          ; 20      ;
823 88 motilito
; light8080:cpu|Mux24~8                                                                          ; 19      ;
824
; light8080:cpu|Mux22~3                                                                          ; 19      ;
825 65 motilito
; light8080:cpu|Mux21~3                                                                          ; 19      ;
826 88 motilito
; light8080:cpu|ucode_field2[2]                                                                  ; 19      ;
827
; light8080:cpu|ucode_field2[0]                                                                  ; 19      ;
828 65 motilito
; light8080:cpu|DO[2]~0                                                                          ; 19      ;
829 88 motilito
; light8080:cpu|Mux26~5                                                                          ; 18      ;
830 66 motilito
; light8080:cpu|ucode_field2[18]                                                                 ; 18      ;
831
; light8080:cpu|ucode_field2[17]                                                                 ; 18      ;
832
; light8080:cpu|ucode_field2[16]                                                                 ; 18      ;
833
; light8080:cpu|ucode_field2[6]                                                                  ; 17      ;
834 65 motilito
; light8080:cpu|ucode_field2[15]                                                                 ; 17      ;
835 66 motilito
; light8080:cpu|Mux20~3                                                                          ; 17      ;
836 88 motilito
; light8080:cpu|uc_end~1                                                                         ; 17      ;
837
; light8080:cpu|Mux0~5                                                                           ; 17      ;
838 65 motilito
; uart:uart|Equal5~10                                                                            ; 17      ;
839 66 motilito
; light8080:cpu|we_rb~0                                                                          ; 16      ;
840 88 motilito
; light8080:cpu|ucode_field2[3]                                                                  ; 16      ;
841
; light8080:cpu|addr_low[1]                                                                      ; 16      ;
842
; light8080:cpu|addr_low[3]                                                                      ; 15      ;
843
; light8080:cpu|addr_low[2]                                                                      ; 15      ;
844
; light8080:cpu|addr_low[0]                                                                      ; 15      ;
845 65 motilito
; light8080:cpu|Equal13~0                                                                        ; 13      ;
846 88 motilito
; uart:uart|rxBusy                                                                               ; 12      ;
847 65 motilito
; uart:uart|baudCE16                                                                             ; 12      ;
848 88 motilito
; light8080:cpu|rbank~207                                                                        ; 11      ;
849
; light8080:cpu|rbank~197                                                                        ; 11      ;
850
; light8080:cpu|rbank~187                                                                        ; 11      ;
851
; light8080:cpu|rbank~177                                                                        ; 11      ;
852
; light8080:cpu|Mux0~4                                                                           ; 11      ;
853
; light8080:cpu|uc_do_ret~0                                                                      ; 11      ;
854
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a26 ; 11      ;
855
; light8080:cpu|Equal19~1                                                                        ; 10      ;
856
; io_dout[4]~0                                                                                   ; 9       ;
857 65 motilito
; light8080:cpu|T1[0]                                                                            ; 9       ;
858 88 motilito
; light8080:cpu|DO[3]                                                                            ; 9       ;
859
; light8080:cpu|DO[1]                                                                            ; 9       ;
860
; light8080:cpu|DO[0]                                                                            ; 9       ;
861
; light8080:cpu|addr_low[4]                                                                      ; 9       ;
862
; light8080:cpu|addr_low[5]                                                                      ; 9       ;
863
; light8080:cpu|addr_low[6]                                                                      ; 9       ;
864
; light8080:cpu|addr_low[7]                                                                      ; 9       ;
865
; light8080:cpu|DO[2]                                                                            ; 9       ;
866
; uart:uart|rxShiftReg[0]~0                                                                      ; 8       ;
867
; uart:uart|rxData[0]~0                                                                          ; 8       ;
868
; light8080:cpu|T2[4]~3                                                                          ; 8       ;
869
; light8080:cpu|T2~1                                                                             ; 8       ;
870
; light8080:cpu|T2~0                                                                             ; 8       ;
871
; light8080:cpu|T1[4]~3                                                                          ; 8       ;
872
; light8080:cpu|T1~1                                                                             ; 8       ;
873
; light8080:cpu|T1~0                                                                             ; 8       ;
874
; light8080:cpu|rbank~239                                                                        ; 8       ;
875
; light8080:cpu|rbank~237                                                                        ; 8       ;
876
; light8080:cpu|rbank~235                                                                        ; 8       ;
877
; light8080:cpu|rbank~233                                                                        ; 8       ;
878
; light8080:cpu|rbank~231                                                                        ; 8       ;
879
; light8080:cpu|rbank~229                                                                        ; 8       ;
880
; light8080:cpu|rbank~227                                                                        ; 8       ;
881
; light8080:cpu|rbank~225                                                                        ; 8       ;
882
; light8080:cpu|rbank~223                                                                        ; 8       ;
883
; light8080:cpu|rbank~221                                                                        ; 8       ;
884
; light8080:cpu|rbank~219                                                                        ; 8       ;
885
; light8080:cpu|rbank~217                                                                        ; 8       ;
886
; light8080:cpu|rbank~215                                                                        ; 8       ;
887
; light8080:cpu|rbank~213                                                                        ; 8       ;
888
; light8080:cpu|rbank~211                                                                        ; 8       ;
889
; light8080:cpu|rbank~209                                                                        ; 8       ;
890
; light8080:cpu|T1[2]                                                                            ; 8       ;
891
; light8080:cpu|uc_ret_addr[6]~1                                                                 ; 8       ;
892
; comb~0                                                                                         ; 8       ;
893
; io_dout[4]~5                                                                                   ; 8       ;
894
; light8080:cpu|ucode_field2[7]                                                                  ; 8       ;
895
; light8080:cpu|ucode_field2[5]                                                                  ; 8       ;
896
; p2dir[0]~0                                                                                     ; 8       ;
897
; p2reg[0]~0                                                                                     ; 8       ;
898
; p1dir[0]~0                                                                                     ; 8       ;
899
; p1reg[0]~0                                                                                     ; 8       ;
900
; Equal2~2                                                                                       ; 8       ;
901
; uartbaud[15]~3                                                                                 ; 8       ;
902
; light8080:cpu|DO[7]                                                                            ; 8       ;
903
; light8080:cpu|DO[6]                                                                            ; 8       ;
904
; light8080:cpu|DO[5]                                                                            ; 8       ;
905
; uartbaud[7]~2                                                                                  ; 8       ;
906
; light8080:cpu|addr_low[3]~1                                                                    ; 8       ;
907
; scpu_io                                                                                        ; 8       ;
908
; always0~0                                                                                      ; 8       ;
909
; light8080:cpu|DO[4]                                                                            ; 8       ;
910
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a20 ; 8       ;
911
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a27 ; 8       ;
912
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a28 ; 8       ;
913
; light8080:cpu|T1[3]                                                                            ; 7       ;
914
; io_dout~6                                                                                      ; 7       ;
915
; intr_ctrl:intrc|intSq.10                                                                       ; 7       ;
916
; light8080:cpu|T1[1]                                                                            ; 7       ;
917
; light8080:cpu|do_reset                                                                         ; 7       ;
918
; intr_ctrl:intrc|cpu_inst[0]                                                                    ; 7       ;
919
; uart:uart|txShiftReg[1]~4                                                                      ; 7       ;
920
; intr_ena[0]~0                                                                                  ; 7       ;
921
; light8080:cpu|flag_reg[0]                                                                      ; 7       ;
922
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a29 ; 7       ;
923
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a30 ; 7       ;
924
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a31 ; 7       ;
925
; intr_ctrl:intrc|cpu_inst[5]~6                                                                  ; 6       ;
926
; intr_ctrl:intrc|Selector2~2                                                                    ; 6       ;
927
; light8080:cpu|Mux25~1                                                                          ; 6       ;
928
; light8080:cpu|T1[7]                                                                            ; 6       ;
929
; intr_ctrl:intrc|act_int[0]                                                                     ; 5       ;
930
; uart:uart|rxBaudCnt[0]                                                                         ; 5       ;
931
; light8080:cpu|Mux25~0                                                                          ; 5       ;
932
; light8080:cpu|T1[4]                                                                            ; 5       ;
933
; light8080:cpu|T1[5]                                                                            ; 5       ;
934
; light8080:cpu|T1[6]                                                                            ; 5       ;
935
; light8080:cpu|IR[5]                                                                            ; 5       ;
936
; light8080:cpu|Mux5~8                                                                           ; 5       ;
937
; cpu_din[2]~5                                                                                   ; 5       ;
938
; Equal7~1                                                                                       ; 5       ;
939
; uart:uart|Equal0~0                                                                             ; 5       ;
940
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a19 ; 5       ;
941
; light8080:cpu|Mux0~8                                                                           ; 4       ;
942
; light8080:cpu|Mux1~6                                                                           ; 4       ;
943
; light8080:cpu|Mux2~6                                                                           ; 4       ;
944
; light8080:cpu|Mux3~6                                                                           ; 4       ;
945
; light8080:cpu|Mux4~6                                                                           ; 4       ;
946
; light8080:cpu|Mux6~6                                                                           ; 4       ;
947
; light8080:cpu|Mux7~6                                                                           ; 4       ;
948
; intr_ena[0]~1                                                                                  ; 4       ;
949
; uart:uart|rxBitCnt[1]~12                                                                       ; 4       ;
950
; uart:uart|rxBaudCnt[0]~1                                                                       ; 4       ;
951
; intr_ctrl:intrc|act_int[2]                                                                     ; 4       ;
952
; uart:uart|rxBaudCnt[1]                                                                         ; 4       ;
953
; uart:uart|rxBaudCnt[3]                                                                         ; 4       ;
954
; light8080:cpu|load_psw~1                                                                       ; 4       ;
955
; light8080:cpu|ucode_field2[14]                                                                 ; 4       ;
956
; light8080:cpu|Mux20~2                                                                          ; 4       ;
957
; light8080:cpu|Mux20~0                                                                          ; 4       ;
958
; intr_ctrl:intrc|cpu_inst[0]~2                                                                  ; 4       ;
959
; intr_ctrl:intrc|intSq.00                                                                       ; 4       ;
960
; io_dout[4]~1                                                                                   ; 4       ;
961
; light8080:cpu|Equal18~0                                                                        ; 4       ;
962
; light8080:cpu|Equal19~0                                                                        ; 4       ;
963
; uart:uart|txBitCnt[3]~6                                                                        ; 4       ;
964
; light8080:cpu|IR[4]                                                                            ; 4       ;
965
; cpu_din[5]~11                                                                                  ; 4       ;
966
; cpu_din[4]~9                                                                                   ; 4       ;
967
; cpu_din[3]~7                                                                                   ; 4       ;
968
; cpu_din[1]~3                                                                                   ; 4       ;
969
; light8080:cpu|uc_end~0                                                                         ; 4       ;
970
; light8080:cpu|uc_do_jmp~0                                                                      ; 4       ;
971
; cpu_din[0]~1                                                                                   ; 4       ;
972
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a21 ; 4       ;
973
; light8080:cpu|inte_reg                                                                         ; 4       ;
974
; uartbaud[7]~4                                                                                  ; 3       ;
975
; uart:uart|sserIn                                                                               ; 3       ;
976
; uart:uart|Equal3~1                                                                             ; 3       ;
977
; light8080:cpu|flag_reg[6]~10                                                                   ; 3       ;
978
; intr_ctrl:intrc|Equal0~0                                                                       ; 3       ;
979
; intr_ctrl:intrc|act_int[3]                                                                     ; 3       ;
980
; intr_ctrl:intrc|act_int[1]                                                                     ; 3       ;
981
; uart:uart|Equal4~0                                                                             ; 3       ;
982
; uart:uart|Equal3~0                                                                             ; 3       ;
983
; uart:uart|rxBaudCnt[2]                                                                         ; 3       ;
984
; light8080:cpu|ucode_field2[22]                                                                 ; 3       ;
985
; light8080:cpu|ucode_field2[21]                                                                 ; 3       ;
986
; light8080:cpu|ucode_field2[24]                                                                 ; 3       ;
987
; light8080:cpu|Mux25~2                                                                          ; 3       ;
988
; light8080:cpu|T2[4]                                                                            ; 3       ;
989
; light8080:cpu|daa_test2~0                                                                      ; 3       ;
990
; intr_ctrl:intrc|intSq.01                                                                       ; 3       ;
991
; intr_ctrl:intrc|intSel.00                                                                      ; 3       ;
992
; light8080:cpu|flag_reg[7]                                                                      ; 3       ;
993
; light8080:cpu|cy_in~0                                                                          ; 3       ;
994
; always1~0                                                                                      ; 3       ;
995
; light8080:cpu|rbank~167                                                                        ; 3       ;
996
; light8080:cpu|rbank~157                                                                        ; 3       ;
997
; light8080:cpu|rbank~147                                                                        ; 3       ;
998
; light8080:cpu|rbank~137                                                                        ; 3       ;
999
; light8080:cpu|IR[3]                                                                            ; 3       ;
1000
; cpu_din[7]~15                                                                                  ; 3       ;
1001
; cpu_din[6]~13                                                                                  ; 3       ;
1002
; Equal7~0                                                                                       ; 3       ;
1003
; uart:uart|txShiftReg~0                                                                         ; 3       ;
1004
; light8080:cpu|daa_res9[5]                                                                      ; 3       ;
1005
; light8080:cpu|int_pending                                                                      ; 3       ;
1006
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a4  ; 3       ;
1007
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a5  ; 3       ;
1008
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a3  ; 3       ;
1009
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a2  ; 3       ;
1010
; intr_ctrl:intrc|cpu_inst[5]~7                                                                  ; 2       ;
1011
; light8080:cpu|uc_di~0                                                                          ; 2       ;
1012
; light8080:cpu|daa_test1~0                                                                      ; 2       ;
1013
; uart:uart|rxShiftReg[7]                                                                        ; 2       ;
1014
; uart:uart|rxShiftReg[6]                                                                        ; 2       ;
1015
; uart:uart|rxShiftReg[5]                                                                        ; 2       ;
1016
; uart:uart|rxShiftReg[4]                                                                        ; 2       ;
1017
; uart:uart|rxValid                                                                              ; 2       ;
1018
; uart:uart|rxShiftReg[3]                                                                        ; 2       ;
1019
; uart:uart|rxShiftReg[2]                                                                        ; 2       ;
1020
; uart:uart|rxShiftReg[1]                                                                        ; 2       ;
1021
; light8080:cpu|ucode_field2[9]                                                                  ; 2       ;
1022
; light8080:cpu|always8~0                                                                        ; 2       ;
1023
; light8080:cpu|ucode_field2[8]                                                                  ; 2       ;
1024
; light8080:cpu|Mux24~5                                                                          ; 2       ;
1025
; light8080:cpu|Mux26~3                                                                          ; 2       ;
1026
; light8080:cpu|Mux26~0                                                                          ; 2       ;
1027
; light8080:cpu|Mux25~7                                                                          ; 2       ;
1028
; light8080:cpu|Mux25~6                                                                          ; 2       ;
1029
; light8080:cpu|Mux25~3                                                                          ; 2       ;
1030
; light8080:cpu|Mux20~4                                                                          ; 2       ;
1031
; light8080:cpu|T2[1]                                                                            ; 2       ;
1032
; light8080:cpu|T2[2]                                                                            ; 2       ;
1033
; light8080:cpu|T2[3]                                                                            ; 2       ;
1034
; light8080:cpu|arith_op2_sgn[4]~4                                                               ; 2       ;
1035
; light8080:cpu|T2[5]                                                                            ; 2       ;
1036
; light8080:cpu|T2[6]                                                                            ; 2       ;
1037
; light8080:cpu|daa_test2~1                                                                      ; 2       ;
1038
; light8080:cpu|T2[7]                                                                            ; 2       ;
1039
; intr_ctrl:intrc|intSel.01                                                                      ; 2       ;
1040
; intr_ctrl:intrc|intSel.10                                                                      ; 2       ;
1041
; rxfull                                                                                         ; 2       ;
1042
; light8080:cpu|Mux28~0                                                                          ; 2       ;
1043
; intr_ctrl:intrc|cpu_inst[5]~4                                                                  ; 2       ;
1044
; light8080:cpu|ucode_field2[19]                                                                 ; 2       ;
1045
; light8080:cpu|T2[0]                                                                            ; 2       ;
1046
; Equal2~1                                                                                       ; 2       ;
1047
; Equal2~0                                                                                       ; 2       ;
1048
; light8080:cpu|Mux0~7                                                                           ; 2       ;
1049
; light8080:cpu|Mux1~5                                                                           ; 2       ;
1050
; light8080:cpu|Mux2~5                                                                           ; 2       ;
1051
; light8080:cpu|Mux3~5                                                                           ; 2       ;
1052
; light8080:cpu|Mux4~5                                                                           ; 2       ;
1053
; light8080:cpu|Mux5~6                                                                           ; 2       ;
1054
; light8080:cpu|Mux6~5                                                                           ; 2       ;
1055
; light8080:cpu|Mux7~5                                                                           ; 2       ;
1056
; uart:uart|txShiftReg~2                                                                         ; 2       ;
1057
; uart:uart|serOut                                                                               ; 2       ;
1058
; light8080:cpu|delayed_ei                                                                       ; 2       ;
1059
; uart:uart|rxBitCnt[2]                                                                          ; 2       ;
1060
; uart:uart|rxBitCnt[1]                                                                          ; 2       ;
1061
; uart:uart|rxBitCnt[0]                                                                          ; 2       ;
1062
; uart:uart|rxBitCnt[3]                                                                          ; 2       ;
1063
; light8080:cpu|Add1~18                                                                          ; 2       ;
1064
; light8080:cpu|flag_reg[4]                                                                      ; 2       ;
1065
; light8080:cpu|daa_res9[1]                                                                      ; 2       ;
1066
; light8080:cpu|daa_res9[6]                                                                      ; 2       ;
1067
; light8080:cpu|flag_reg[6]                                                                      ; 2       ;
1068
; light8080:cpu|flag_reg[2]                                                                      ; 2       ;
1069
; light8080:cpu|addr_plus_1[7]~14                                                                ; 2       ;
1070
; light8080:cpu|addr_plus_1[6]~12                                                                ; 2       ;
1071
; light8080:cpu|addr_plus_1[5]~10                                                                ; 2       ;
1072
; light8080:cpu|addr_plus_1[4]~8                                                                 ; 2       ;
1073
; light8080:cpu|addr_plus_1[3]~6                                                                 ; 2       ;
1074
; light8080:cpu|addr_plus_1[2]~4                                                                 ; 2       ;
1075
; light8080:cpu|addr_plus_1[1]~2                                                                 ; 2       ;
1076
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a1  ; 2       ;
1077
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a0  ; 2       ;
1078
; light8080:cpu|addr_plus_1[0]~0                                                                 ; 2       ;
1079
; light8080:cpu|condition_reg                                                                    ; 2       ;
1080
; uart:uart|txBitCnt[3]                                                                          ; 2       ;
1081
; uart:uart|txBitCnt[1]                                                                          ; 2       ;
1082
; uart:uart|txBitCnt[2]                                                                          ; 2       ;
1083
; uart:uart|txBitCnt[0]                                                                          ; 2       ;
1084
; uart:uart|baudCount[14]                                                                        ; 2       ;
1085
; uart:uart|baudCount[15]                                                                        ; 2       ;
1086
; uart:uart|baudCount[12]                                                                        ; 2       ;
1087
; uart:uart|baudCount[13]                                                                        ; 2       ;
1088
; uart:uart|baudCount[10]                                                                        ; 2       ;
1089
; uart:uart|baudCount[11]                                                                        ; 2       ;
1090
; uart:uart|baudCount[8]                                                                         ; 2       ;
1091
; uart:uart|baudCount[9]                                                                         ; 2       ;
1092
; uart:uart|baudCount[6]                                                                         ; 2       ;
1093
; uart:uart|baudCount[7]                                                                         ; 2       ;
1094
; uart:uart|baudCount[4]                                                                         ; 2       ;
1095
; uart:uart|baudCount[5]                                                                         ; 2       ;
1096
; uart:uart|baudCount[2]                                                                         ; 2       ;
1097
; uart:uart|baudCount[3]                                                                         ; 2       ;
1098
; uart:uart|baudCount[0]                                                                         ; 2       ;
1099
; uart:uart|baudCount[1]                                                                         ; 2       ;
1100
; uart:uart|txBaudCnt[3]                                                                         ; 2       ;
1101
; uart:uart|txBaudCnt[2]                                                                         ; 2       ;
1102
; uart:uart|txBaudCnt[1]                                                                         ; 2       ;
1103
; uart:uart|txBaudCnt[0]                                                                         ; 2       ;
1104
; rxd                                                                                            ; 1       ;
1105
; extint[0]                                                                                      ; 1       ;
1106
; extint[2]                                                                                      ; 1       ;
1107
; extint[3]                                                                                      ; 1       ;
1108
; extint[1]                                                                                      ; 1       ;
1109
; p2dio[7]~7                                                                                     ; 1       ;
1110
; p2dio[6]~6                                                                                     ; 1       ;
1111
; p2dio[5]~5                                                                                     ; 1       ;
1112
; p2dio[4]~4                                                                                     ; 1       ;
1113
; p2dio[3]~3                                                                                     ; 1       ;
1114
; p2dio[2]~2                                                                                     ; 1       ;
1115
; p2dio[1]~1                                                                                     ; 1       ;
1116
; p2dio[0]~0                                                                                     ; 1       ;
1117
; p1dio[7]~7                                                                                     ; 1       ;
1118
; p1dio[6]~6                                                                                     ; 1       ;
1119
; p1dio[5]~5                                                                                     ; 1       ;
1120
; p1dio[4]~4                                                                                     ; 1       ;
1121
; p1dio[3]~3                                                                                     ; 1       ;
1122
; p1dio[2]~2                                                                                     ; 1       ;
1123
; p1dio[1]~1                                                                                     ; 1       ;
1124
; p1dio[0]~0                                                                                     ; 1       ;
1125
; uart:uart|rxBaudCnt[0]~4                                                                       ; 1       ;
1126
; intr_ctrl:intrc|intSel.00~0                                                                    ; 1       ;
1127
; light8080:cpu|DO[4]~13                                                                         ; 1       ;
1128
; light8080:cpu|flag_reg~18                                                                      ; 1       ;
1129
; intr_ctrl:intrc|Selector2~3                                                                    ; 1       ;
1130
; intr_ctrl:intrc|Selector0~2                                                                    ; 1       ;
1131
; light8080:cpu|data_output[6]~13                                                                ; 1       ;
1132
; light8080:cpu|data_output[5]~12                                                                ; 1       ;
1133
; light8080:cpu|data_output[3]~11                                                                ; 1       ;
1134
; light8080:cpu|Mux24~9                                                                          ; 1       ;
1135
; light8080:cpu|DO[4]~12                                                                         ; 1       ;
1136
; light8080:cpu|data_output[0]~10                                                                ; 1       ;
1137
; light8080:cpu|Mux5~10                                                                          ; 1       ;
1138
; light8080:cpu|Mux5~9                                                                           ; 1       ;
1139
; uart:uart|txShiftReg~11                                                                        ; 1       ;
1140
; uart:uart|txShiftReg~10                                                                        ; 1       ;
1141
; uart:uart|txShiftReg[8]                                                                        ; 1       ;
1142
; uart:uart|txShiftReg~9                                                                         ; 1       ;
1143
; uart:uart|txShiftReg[7]                                                                        ; 1       ;
1144
; light8080:cpu|delayed_ei~1                                                                     ; 1       ;
1145
; light8080:cpu|delayed_ei~0                                                                     ; 1       ;
1146
; uart:uart|txShiftReg~8                                                                         ; 1       ;
1147
; uart:uart|txShiftReg[6]                                                                        ; 1       ;
1148
; uart:uart|rxValid~0                                                                            ; 1       ;
1149
; uart:uart|rxShiftReg[0]~1                                                                      ; 1       ;
1150
; intr_ctrl:intrc|act_int~7                                                                      ; 1       ;
1151
; intr_ctrl:intrc|act_int~6                                                                      ; 1       ;
1152
; intr_ena[0]                                                                                    ; 1       ;
1153
; intr_ctrl:intrc|act_int~5                                                                      ; 1       ;
1154
; intr_ctrl:intrc|act_int~4                                                                      ; 1       ;
1155
; intr_ena[2]                                                                                    ; 1       ;
1156
; intr_ctrl:intrc|act_int~3                                                                      ; 1       ;
1157
; intr_ctrl:intrc|intSel.11                                                                      ; 1       ;
1158
; intr_ctrl:intrc|act_int~2                                                                      ; 1       ;
1159
; intr_ena[3]                                                                                    ; 1       ;
1160
; intr_ctrl:intrc|act_int~1                                                                      ; 1       ;
1161
; intr_ctrl:intrc|act_int~0                                                                      ; 1       ;
1162
; intr_ena[1]                                                                                    ; 1       ;
1163
; light8080:cpu|inte_reg~0                                                                       ; 1       ;
1164
; uart:uart|rxBaudCnt[1]~3                                                                       ; 1       ;
1165
; uart:uart|rxBaudCnt[2]~2                                                                       ; 1       ;
1166
; uart:uart|rxBaudCnt~0                                                                          ; 1       ;
1167
; uart:uart|rxBusy~1                                                                             ; 1       ;
1168
; uart:uart|rxBusy~0                                                                             ; 1       ;
1169
; light8080:cpu|Equal2~1                                                                         ; 1       ;
1170
; light8080:cpu|Equal13~2                                                                        ; 1       ;
1171
; light8080:cpu|Equal11~0                                                                        ; 1       ;
1172
; uart:uart|txShiftReg~7                                                                         ; 1       ;
1173
; uart:uart|txShiftReg[5]                                                                        ; 1       ;
1174
; light8080:cpu|flag_reg~17                                                                      ; 1       ;
1175
; light8080:cpu|flag_reg~16                                                                      ; 1       ;
1176
; light8080:cpu|flag_reg~15                                                                      ; 1       ;
1177
; light8080:cpu|flag_reg~14                                                                      ; 1       ;
1178
; light8080:cpu|T2~10                                                                            ; 1       ;
1179
; light8080:cpu|T2~9                                                                             ; 1       ;
1180
; light8080:cpu|T2~8                                                                             ; 1       ;
1181
; light8080:cpu|T2~7                                                                             ; 1       ;
1182
; light8080:cpu|T1~10                                                                            ; 1       ;
1183
; light8080:cpu|T2~6                                                                             ; 1       ;
1184
; light8080:cpu|T1~9                                                                             ; 1       ;
1185
; light8080:cpu|T2~5                                                                             ; 1       ;
1186
; light8080:cpu|T1~8                                                                             ; 1       ;
1187
; light8080:cpu|T1~7                                                                             ; 1       ;
1188
; light8080:cpu|T1~6                                                                             ; 1       ;
1189
; light8080:cpu|T2~4                                                                             ; 1       ;
1190
; intr_ctrl:intrc|intSel~15                                                                      ; 1       ;
1191
; intr_ctrl:intrc|Selector1~0                                                                    ; 1       ;
1192
; intr_ctrl:intrc|intSel~14                                                                      ; 1       ;
1193
; rxfull~0                                                                                       ; 1       ;
1194
; light8080:cpu|flag_reg[7]~13                                                                   ; 1       ;
1195
; light8080:cpu|flag_reg~12                                                                      ; 1       ;
1196
; light8080:cpu|flag_reg~11                                                                      ; 1       ;
1197
; light8080:cpu|flag_reg~9                                                                       ; 1       ;
1198
; light8080:cpu|flag_reg~8                                                                       ; 1       ;
1199
; light8080:cpu|flag_reg~7                                                                       ; 1       ;
1200
; light8080:cpu|int_pending~1                                                                    ; 1       ;
1201
; light8080:cpu|int_pending~0                                                                    ; 1       ;
1202
; uart:uart|rxShiftReg[0]                                                                        ; 1       ;
1203
; light8080:cpu|T1~5                                                                             ; 1       ;
1204
; light8080:cpu|T1~4                                                                             ; 1       ;
1205
; light8080:cpu|Equal2~0                                                                         ; 1       ;
1206
; light8080:cpu|reg_aux_cy~0                                                                     ; 1       ;
1207
; light8080:cpu|Equal13~1                                                                        ; 1       ;
1208
; light8080:cpu|T2~2                                                                             ; 1       ;
1209
; light8080:cpu|T1~2                                                                             ; 1       ;
1210
; light8080:cpu|daa_res9~0                                                                       ; 1       ;
1211
; light8080:cpu|flag_reg~6                                                                       ; 1       ;
1212
; light8080:cpu|flag_reg~5                                                                       ; 1       ;
1213
; light8080:cpu|load_psw~0                                                                       ; 1       ;
1214
; light8080:cpu|flag_reg~4                                                                       ; 1       ;
1215
; light8080:cpu|flag_reg~3                                                                       ; 1       ;
1216
; light8080:cpu|flag_reg~2                                                                       ; 1       ;
1217
; uart:uart|txShiftReg~6                                                                         ; 1       ;
1218
; uart:uart|txShiftReg[4]                                                                        ; 1       ;
1219
; light8080:cpu|data_output[7]~9                                                                 ; 1       ;
1220
; light8080:cpu|data_output[1]~8                                                                 ; 1       ;
1221
; light8080:cpu|Mux26~6                                                                          ; 1       ;
1222
; light8080:cpu|Mux24~7                                                                          ; 1       ;
1223
; light8080:cpu|Mux24~6                                                                          ; 1       ;
1224
; light8080:cpu|Mux24~4                                                                          ; 1       ;
1225
; light8080:cpu|Mux26~4                                                                          ; 1       ;
1226
; light8080:cpu|Mux26~2                                                                          ; 1       ;
1227
; light8080:cpu|Mux26~1                                                                          ; 1       ;
1228
; light8080:cpu|Mux25~9                                                                          ; 1       ;
1229
; light8080:cpu|Mux25~8                                                                          ; 1       ;
1230
; light8080:cpu|Mux25~5                                                                          ; 1       ;
1231
; light8080:cpu|Mux25~4                                                                          ; 1       ;
1232
; light8080:cpu|DO[4]~11                                                                         ; 1       ;
1233
; light8080:cpu|DO[4]~10                                                                         ; 1       ;
1234
; light8080:cpu|DO[4]~9                                                                          ; 1       ;
1235
; light8080:cpu|DO[4]~8                                                                          ; 1       ;
1236
; light8080:cpu|Mux22~2                                                                          ; 1       ;
1237
; light8080:cpu|Mux22~1                                                                          ; 1       ;
1238
; light8080:cpu|alu_mux1[5]~7                                                                    ; 1       ;
1239
; light8080:cpu|Mux14~0                                                                          ; 1       ;
1240
; light8080:cpu|Mux22~0                                                                          ; 1       ;
1241
; light8080:cpu|Mux21~2                                                                          ; 1       ;
1242
; light8080:cpu|Mux21~1                                                                          ; 1       ;
1243
; light8080:cpu|Mux21~0                                                                          ; 1       ;
1244
; light8080:cpu|alu_mux1[6]~6                                                                    ; 1       ;
1245
; light8080:cpu|Mux13~0                                                                          ; 1       ;
1246
; light8080:cpu|rbank~238                                                                        ; 1       ;
1247
; light8080:cpu|rbank~236                                                                        ; 1       ;
1248
; light8080:cpu|rbank~234                                                                        ; 1       ;
1249
; light8080:cpu|rbank~232                                                                        ; 1       ;
1250
; light8080:cpu|rbank~230                                                                        ; 1       ;
1251
; light8080:cpu|rbank~228                                                                        ; 1       ;
1252
; light8080:cpu|rbank~226                                                                        ; 1       ;
1253
; light8080:cpu|rbank~224                                                                        ; 1       ;
1254
; light8080:cpu|rbank~222                                                                        ; 1       ;
1255
; light8080:cpu|rbank~220                                                                        ; 1       ;
1256
; light8080:cpu|rbank~218                                                                        ; 1       ;
1257
; light8080:cpu|rbank~216                                                                        ; 1       ;
1258
; light8080:cpu|rbank~214                                                                        ; 1       ;
1259
; light8080:cpu|rbank~212                                                                        ; 1       ;
1260
; light8080:cpu|rbank~210                                                                        ; 1       ;
1261
; light8080:cpu|rbank~208                                                                        ; 1       ;
1262
; light8080:cpu|arith_op2_sgn[1]~7                                                               ; 1       ;
1263
; light8080:cpu|arith_op2_sgn[2]~6                                                               ; 1       ;
1264
; light8080:cpu|arith_op2_sgn[3]~5                                                               ; 1       ;
1265
; light8080:cpu|arith_op2_sgn[5]~3                                                               ; 1       ;
1266
; light8080:cpu|arith_op2_sgn[6]~2                                                               ; 1       ;
1267
; light8080:cpu|arith_op2_sgn[7]~1                                                               ; 1       ;
1268
; light8080:cpu|Mux20~1                                                                          ; 1       ;
1269
; light8080:cpu|alu_mux1[7]~5                                                                    ; 1       ;
1270
; light8080:cpu|alu_mux1[7]~4                                                                    ; 1       ;
1271
; light8080:cpu|alu_mux1[7]~3                                                                    ; 1       ;
1272
; light8080:cpu|Mux12~0                                                                          ; 1       ;
1273
; light8080:cpu|uc_ret_addr~8                                                                    ; 1       ;
1274
; io_dout~20                                                                                     ; 1       ;
1275
; io_dout~19                                                                                     ; 1       ;
1276
; uart:uart|rxData[7]                                                                            ; 1       ;
1277
; light8080:cpu|uc_addr~7                                                                        ; 1       ;
1278
; light8080:cpu|uc_ret_addr~7                                                                    ; 1       ;
1279
; light8080:cpu|uc_addr~6                                                                        ; 1       ;
1280
; io_dout~18                                                                                     ; 1       ;
1281
; io_dout~17                                                                                     ; 1       ;
1282
; uart:uart|rxData[6]                                                                            ; 1       ;
1283
; light8080:cpu|uc_ret_addr~6                                                                    ; 1       ;
1284
; intr_ctrl:intrc|Selector5~0                                                                    ; 1       ;
1285
; io_dout~16                                                                                     ; 1       ;
1286
; io_dout~15                                                                                     ; 1       ;
1287
; uart:uart|rxData[5]                                                                            ; 1       ;
1288
; light8080:cpu|uc_addr~5                                                                        ; 1       ;
1289
; light8080:cpu|uc_ret_addr~5                                                                    ; 1       ;
1290
; light8080:cpu|uc_addr~4                                                                        ; 1       ;
1291
; intr_ctrl:intrc|Selector6~0                                                                    ; 1       ;
1292
; io_dout~14                                                                                     ; 1       ;
1293
; uart:uart|rxData[4]                                                                            ; 1       ;
1294
; io_dout~13                                                                                     ; 1       ;
1295
; light8080:cpu|uc_ret_addr~4                                                                    ; 1       ;
1296
; light8080:cpu|uc_addr~3                                                                        ; 1       ;
1297
; io_dout~12                                                                                     ; 1       ;
1298
; io_dout~11                                                                                     ; 1       ;
1299
; uart:uart|rxData[3]                                                                            ; 1       ;
1300
; io_dout~10                                                                                     ; 1       ;
1301
; io_dout~9                                                                                      ; 1       ;
1302
; uart:uart|rxData[2]                                                                            ; 1       ;
1303
; light8080:cpu|uc_addr~2                                                                        ; 1       ;
1304
; light8080:cpu|uc_ret_addr~3                                                                    ; 1       ;
1305
; light8080:cpu|uc_ret_addr~2                                                                    ; 1       ;
1306
; io_dout~8                                                                                      ; 1       ;
1307
; io_dout~7                                                                                      ; 1       ;
1308
; uart:uart|rxData[1]                                                                            ; 1       ;
1309
; light8080:cpu|uc_addr~1                                                                        ; 1       ;
1310
; light8080:cpu|uc_ret_addr~0                                                                    ; 1       ;
1311
; light8080:cpu|uc_addr~0                                                                        ; 1       ;
1312
; light8080:cpu|Mux28~2                                                                          ; 1       ;
1313
; light8080:cpu|Mux28~1                                                                          ; 1       ;
1314
; intr_ctrl:intrc|cpu_inst[0]~5                                                                  ; 1       ;
1315
; intr_ctrl:intrc|cpu_inst[0]~3                                                                  ; 1       ;
1316
; light8080:cpu|inta_reg~0                                                                       ; 1       ;
1317
; io_dout[4]~4                                                                                   ; 1       ;
1318
; io_dout~3                                                                                      ; 1       ;
1319
; uart:uart|rxData[0]                                                                            ; 1       ;
1320
; io_dout~2                                                                                      ; 1       ;
1321
; light8080:cpu|alu_mux1[0]~2                                                                    ; 1       ;
1322
; light8080:cpu|alu_mux1[0]~1                                                                    ; 1       ;
1323
; light8080:cpu|alu_mux1[0]~0                                                                    ; 1       ;
1324
; light8080:cpu|Mux19~0                                                                          ; 1       ;
1325
; light8080:cpu|Mux27~0                                                                          ; 1       ;
1326
; light8080:cpu|cy_in_gated                                                                      ; 1       ;
1327
; light8080:cpu|ucode_field2[20]                                                                 ; 1       ;
1328
; light8080:cpu|reg_aux_cy                                                                       ; 1       ;
1329
; light8080:cpu|arith_op2_sgn[0]~0                                                               ; 1       ;
1330
; light8080:cpu|daa_res9[0]                                                                      ; 1       ;
1331
; uart:uart|txShiftReg~5                                                                         ; 1       ;
1332
; uart:uart|txShiftReg[3]                                                                        ; 1       ;
1333
; light8080:cpu|addr_low~8                                                                       ; 1       ;
1334
; light8080:cpu|rbank~206                                                                        ; 1       ;
1335
; light8080:cpu|rbank~123                                                                        ; 1       ;
1336
; light8080:cpu|rbank~205                                                                        ; 1       ;
1337
; light8080:cpu|rbank~27                                                                         ; 1       ;
1338
; light8080:cpu|rbank~91                                                                         ; 1       ;
1339
; light8080:cpu|rbank~59                                                                         ; 1       ;
1340
; light8080:cpu|rbank~204                                                                        ; 1       ;
1341
; light8080:cpu|rbank~203                                                                        ; 1       ;
1342
; light8080:cpu|rbank~99                                                                         ; 1       ;
1343
; light8080:cpu|rbank~202                                                                        ; 1       ;
1344
; light8080:cpu|rbank~3                                                                          ; 1       ;
1345
; light8080:cpu|rbank~35                                                                         ; 1       ;
1346
; light8080:cpu|rbank~67                                                                         ; 1       ;
1347
; light8080:cpu|rbank~201                                                                        ; 1       ;
1348
; light8080:cpu|rbank~115                                                                        ; 1       ;
1349
; light8080:cpu|rbank~200                                                                        ; 1       ;
1350
; light8080:cpu|rbank~19                                                                         ; 1       ;
1351
; light8080:cpu|rbank~51                                                                         ; 1       ;
1352
; light8080:cpu|rbank~83                                                                         ; 1       ;
1353
; light8080:cpu|rbank~199                                                                        ; 1       ;
1354
; light8080:cpu|rbank~107                                                                        ; 1       ;
1355
; light8080:cpu|rbank~198                                                                        ; 1       ;
1356
; light8080:cpu|rbank~11                                                                         ; 1       ;
1357
; light8080:cpu|rbank~75                                                                         ; 1       ;
1358
; light8080:cpu|rbank~43                                                                         ; 1       ;
1359
; light8080:cpu|addr_low~7                                                                       ; 1       ;
1360
; light8080:cpu|rbank~196                                                                        ; 1       ;
1361
; light8080:cpu|rbank~121                                                                        ; 1       ;
1362
; light8080:cpu|rbank~195                                                                        ; 1       ;
1363
; light8080:cpu|rbank~25                                                                         ; 1       ;
1364
; light8080:cpu|rbank~57                                                                         ; 1       ;
1365
; light8080:cpu|rbank~89                                                                         ; 1       ;
1366
; light8080:cpu|rbank~194                                                                        ; 1       ;
1367
; light8080:cpu|rbank~193                                                                        ; 1       ;
1368
; light8080:cpu|rbank~97                                                                         ; 1       ;
1369
; light8080:cpu|rbank~192                                                                        ; 1       ;
1370
; light8080:cpu|rbank~1                                                                          ; 1       ;
1371
; light8080:cpu|rbank~65                                                                         ; 1       ;
1372
; light8080:cpu|rbank~33                                                                         ; 1       ;
1373
; light8080:cpu|rbank~191                                                                        ; 1       ;
1374
; light8080:cpu|rbank~105                                                                        ; 1       ;
1375
; light8080:cpu|rbank~190                                                                        ; 1       ;
1376
; light8080:cpu|rbank~9                                                                          ; 1       ;
1377
; light8080:cpu|rbank~41                                                                         ; 1       ;
1378
; light8080:cpu|rbank~73                                                                         ; 1       ;
1379
; light8080:cpu|rbank~189                                                                        ; 1       ;
1380
; light8080:cpu|rbank~113                                                                        ; 1       ;
1381
; light8080:cpu|rbank~188                                                                        ; 1       ;
1382
; light8080:cpu|rbank~17                                                                         ; 1       ;
1383
; light8080:cpu|rbank~81                                                                         ; 1       ;
1384
; light8080:cpu|rbank~49                                                                         ; 1       ;
1385
; light8080:cpu|addr_low~6                                                                       ; 1       ;
1386
; light8080:cpu|rbank~186                                                                        ; 1       ;
1387
; light8080:cpu|rbank~122                                                                        ; 1       ;
1388
; light8080:cpu|rbank~185                                                                        ; 1       ;
1389
; light8080:cpu|rbank~98                                                                         ; 1       ;
1390
; light8080:cpu|rbank~106                                                                        ; 1       ;
1391
; light8080:cpu|rbank~114                                                                        ; 1       ;
1392
; light8080:cpu|rbank~184                                                                        ; 1       ;
1393
; light8080:cpu|rbank~183                                                                        ; 1       ;
1394
; light8080:cpu|rbank~26                                                                         ; 1       ;
1395
; light8080:cpu|rbank~182                                                                        ; 1       ;
1396
; light8080:cpu|rbank~2                                                                          ; 1       ;
1397
; light8080:cpu|rbank~18                                                                         ; 1       ;
1398
; light8080:cpu|rbank~10                                                                         ; 1       ;
1399
; light8080:cpu|rbank~181                                                                        ; 1       ;
1400
; light8080:cpu|rbank~90                                                                         ; 1       ;
1401
; light8080:cpu|rbank~180                                                                        ; 1       ;
1402
; light8080:cpu|rbank~66                                                                         ; 1       ;
1403
; light8080:cpu|rbank~82                                                                         ; 1       ;
1404
; light8080:cpu|rbank~74                                                                         ; 1       ;
1405
; light8080:cpu|rbank~179                                                                        ; 1       ;
1406
; light8080:cpu|rbank~58                                                                         ; 1       ;
1407
; light8080:cpu|rbank~178                                                                        ; 1       ;
1408
; light8080:cpu|rbank~34                                                                         ; 1       ;
1409
; light8080:cpu|rbank~42                                                                         ; 1       ;
1410
; light8080:cpu|rbank~50                                                                         ; 1       ;
1411
; light8080:cpu|addr_low~5                                                                       ; 1       ;
1412
; light8080:cpu|rbank~176                                                                        ; 1       ;
1413
; light8080:cpu|rbank~120                                                                        ; 1       ;
1414
; light8080:cpu|rbank~175                                                                        ; 1       ;
1415
; light8080:cpu|rbank~96                                                                         ; 1       ;
1416
; light8080:cpu|rbank~112                                                                        ; 1       ;
1417
; light8080:cpu|rbank~104                                                                        ; 1       ;
1418
; light8080:cpu|rbank~174                                                                        ; 1       ;
1419
; light8080:cpu|rbank~173                                                                        ; 1       ;
1420
; light8080:cpu|rbank~24                                                                         ; 1       ;
1421
; light8080:cpu|rbank~172                                                                        ; 1       ;
1422
; light8080:cpu|rbank~0                                                                          ; 1       ;
1423
; light8080:cpu|rbank~8                                                                          ; 1       ;
1424
; light8080:cpu|rbank~16                                                                         ; 1       ;
1425
; light8080:cpu|rbank~171                                                                        ; 1       ;
1426
; light8080:cpu|rbank~56                                                                         ; 1       ;
1427
; light8080:cpu|rbank~170                                                                        ; 1       ;
1428
; light8080:cpu|rbank~32                                                                         ; 1       ;
1429
; light8080:cpu|rbank~48                                                                         ; 1       ;
1430
; light8080:cpu|rbank~40                                                                         ; 1       ;
1431
; light8080:cpu|rbank~169                                                                        ; 1       ;
1432
; light8080:cpu|rbank~88                                                                         ; 1       ;
1433
; light8080:cpu|rbank~168                                                                        ; 1       ;
1434
; light8080:cpu|rbank~64                                                                         ; 1       ;
1435
; light8080:cpu|rbank~72                                                                         ; 1       ;
1436
; light8080:cpu|rbank~80                                                                         ; 1       ;
1437
; light8080:cpu|addr_low~4                                                                       ; 1       ;
1438
; light8080:cpu|rbank~166                                                                        ; 1       ;
1439
; light8080:cpu|rbank~124                                                                        ; 1       ;
1440
; light8080:cpu|rbank~165                                                                        ; 1       ;
1441
; light8080:cpu|rbank~100                                                                        ; 1       ;
1442
; light8080:cpu|rbank~116                                                                        ; 1       ;
1443
; light8080:cpu|rbank~108                                                                        ; 1       ;
1444
; light8080:cpu|rbank~164                                                                        ; 1       ;
1445
; light8080:cpu|rbank~163                                                                        ; 1       ;
1446
; light8080:cpu|rbank~28                                                                         ; 1       ;
1447
; light8080:cpu|rbank~162                                                                        ; 1       ;
1448
; light8080:cpu|rbank~4                                                                          ; 1       ;
1449
; light8080:cpu|rbank~12                                                                         ; 1       ;
1450
; light8080:cpu|rbank~20                                                                         ; 1       ;
1451
; light8080:cpu|rbank~161                                                                        ; 1       ;
1452
; light8080:cpu|rbank~60                                                                         ; 1       ;
1453
; light8080:cpu|rbank~160                                                                        ; 1       ;
1454
; light8080:cpu|rbank~36                                                                         ; 1       ;
1455
; light8080:cpu|rbank~52                                                                         ; 1       ;
1456
; light8080:cpu|rbank~44                                                                         ; 1       ;
1457
; light8080:cpu|rbank~159                                                                        ; 1       ;
1458
; light8080:cpu|rbank~92                                                                         ; 1       ;
1459
; light8080:cpu|rbank~158                                                                        ; 1       ;
1460
; light8080:cpu|rbank~68                                                                         ; 1       ;
1461
; light8080:cpu|rbank~76                                                                         ; 1       ;
1462
; light8080:cpu|rbank~84                                                                         ; 1       ;
1463
; light8080:cpu|addr_low~3                                                                       ; 1       ;
1464
; light8080:cpu|rbank~156                                                                        ; 1       ;
1465
; light8080:cpu|rbank~125                                                                        ; 1       ;
1466
; light8080:cpu|rbank~155                                                                        ; 1       ;
1467
; light8080:cpu|rbank~29                                                                         ; 1       ;
1468
; light8080:cpu|rbank~61                                                                         ; 1       ;
1469
; light8080:cpu|rbank~93                                                                         ; 1       ;
1470
; light8080:cpu|rbank~154                                                                        ; 1       ;
1471
; light8080:cpu|rbank~153                                                                        ; 1       ;
1472
; light8080:cpu|rbank~101                                                                        ; 1       ;
1473
; light8080:cpu|rbank~152                                                                        ; 1       ;
1474
; light8080:cpu|rbank~5                                                                          ; 1       ;
1475
; light8080:cpu|rbank~69                                                                         ; 1       ;
1476
; light8080:cpu|rbank~37                                                                         ; 1       ;
1477
; light8080:cpu|rbank~151                                                                        ; 1       ;
1478
; light8080:cpu|rbank~109                                                                        ; 1       ;
1479
; light8080:cpu|rbank~150                                                                        ; 1       ;
1480
; light8080:cpu|rbank~13                                                                         ; 1       ;
1481
; light8080:cpu|rbank~45                                                                         ; 1       ;
1482
; light8080:cpu|rbank~77                                                                         ; 1       ;
1483
; light8080:cpu|rbank~149                                                                        ; 1       ;
1484
; light8080:cpu|rbank~117                                                                        ; 1       ;
1485
; light8080:cpu|rbank~148                                                                        ; 1       ;
1486
; light8080:cpu|rbank~21                                                                         ; 1       ;
1487
; light8080:cpu|rbank~85                                                                         ; 1       ;
1488
; light8080:cpu|rbank~53                                                                         ; 1       ;
1489
; light8080:cpu|addr_low~2                                                                       ; 1       ;
1490
; light8080:cpu|rbank~146                                                                        ; 1       ;
1491
; light8080:cpu|rbank~126                                                                        ; 1       ;
1492
; light8080:cpu|rbank~145                                                                        ; 1       ;
1493
; light8080:cpu|rbank~102                                                                        ; 1       ;
1494
; light8080:cpu|rbank~110                                                                        ; 1       ;
1495
; light8080:cpu|rbank~118                                                                        ; 1       ;
1496
; light8080:cpu|rbank~144                                                                        ; 1       ;
1497
; light8080:cpu|rbank~143                                                                        ; 1       ;
1498
; light8080:cpu|rbank~30                                                                         ; 1       ;
1499
; light8080:cpu|rbank~142                                                                        ; 1       ;
1500
; light8080:cpu|rbank~6                                                                          ; 1       ;
1501
; light8080:cpu|rbank~22                                                                         ; 1       ;
1502
; light8080:cpu|rbank~14                                                                         ; 1       ;
1503
; light8080:cpu|rbank~141                                                                        ; 1       ;
1504
; light8080:cpu|rbank~94                                                                         ; 1       ;
1505
; light8080:cpu|rbank~140                                                                        ; 1       ;
1506
; light8080:cpu|rbank~70                                                                         ; 1       ;
1507
; light8080:cpu|rbank~86                                                                         ; 1       ;
1508
; light8080:cpu|rbank~78                                                                         ; 1       ;
1509
; light8080:cpu|rbank~139                                                                        ; 1       ;
1510
; light8080:cpu|rbank~62                                                                         ; 1       ;
1511
; light8080:cpu|rbank~138                                                                        ; 1       ;
1512
; light8080:cpu|rbank~38                                                                         ; 1       ;
1513
; light8080:cpu|rbank~46                                                                         ; 1       ;
1514
; light8080:cpu|rbank~54                                                                         ; 1       ;
1515
; light8080:cpu|addr_low~0                                                                       ; 1       ;
1516
; light8080:cpu|rbank~136                                                                        ; 1       ;
1517
; light8080:cpu|rbank~127                                                                        ; 1       ;
1518
; light8080:cpu|rbank~135                                                                        ; 1       ;
1519
; light8080:cpu|rbank~31                                                                         ; 1       ;
1520
; light8080:cpu|rbank~95                                                                         ; 1       ;
1521
; light8080:cpu|rbank~63                                                                         ; 1       ;
1522
; light8080:cpu|rbank~134                                                                        ; 1       ;
1523
; light8080:cpu|rbank~133                                                                        ; 1       ;
1524
; light8080:cpu|rbank~103                                                                        ; 1       ;
1525
; light8080:cpu|rbank~132                                                                        ; 1       ;
1526
; light8080:cpu|rbank~7                                                                          ; 1       ;
1527
; light8080:cpu|rbank~39                                                                         ; 1       ;
1528
; light8080:cpu|rbank~71                                                                         ; 1       ;
1529
; light8080:cpu|Mux10~0                                                                          ; 1       ;
1530
; light8080:cpu|IR[1]                                                                            ; 1       ;
1531
; light8080:cpu|rbank~131                                                                        ; 1       ;
1532
; light8080:cpu|rbank~119                                                                        ; 1       ;
1533
; light8080:cpu|rbank~130                                                                        ; 1       ;
1534
; light8080:cpu|rbank~23                                                                         ; 1       ;
1535
; light8080:cpu|rbank~55                                                                         ; 1       ;
1536
; light8080:cpu|rbank~87                                                                         ; 1       ;
1537
; light8080:cpu|Mux11~0                                                                          ; 1       ;
1538
; light8080:cpu|IR[0]                                                                            ; 1       ;
1539
; light8080:cpu|rbank~129                                                                        ; 1       ;
1540
; light8080:cpu|rbank~111                                                                        ; 1       ;
1541
; light8080:cpu|rbank~128                                                                        ; 1       ;
1542
; light8080:cpu|rbank~15                                                                         ; 1       ;
1543
; light8080:cpu|Mux8~0                                                                           ; 1       ;
1544
; light8080:cpu|rbank~79                                                                         ; 1       ;
1545
; light8080:cpu|Mux9~0                                                                           ; 1       ;
1546
; light8080:cpu|IR[2]                                                                            ; 1       ;
1547
; light8080:cpu|rbank~47                                                                         ; 1       ;
1548
; light8080:cpu|Mux0~6                                                                           ; 1       ;
1549
; light8080:cpu|uc_ret_addr[7]                                                                   ; 1       ;
1550
; cpu_din[7]~14                                                                                  ; 1       ;
1551
; io_dout[7]                                                                                     ; 1       ;
1552
; light8080:cpu|uc_addr[7]                                                                       ; 1       ;
1553
; light8080:cpu|Mux1~4                                                                           ; 1       ;
1554
; light8080:cpu|uc_ret_addr[6]                                                                   ; 1       ;
1555
; light8080:cpu|uc_addr[6]                                                                       ; 1       ;
1556
; cpu_din[6]~12                                                                                  ; 1       ;
1557
; io_dout[6]                                                                                     ; 1       ;
1558
; light8080:cpu|Mux2~4                                                                           ; 1       ;
1559
; light8080:cpu|uc_ret_addr[5]                                                                   ; 1       ;
1560
; intr_ctrl:intrc|cpu_inst[5]                                                                    ; 1       ;
1561
; cpu_din[5]~10                                                                                  ; 1       ;
1562
; io_dout[5]                                                                                     ; 1       ;
1563
; light8080:cpu|uc_addr[5]                                                                       ; 1       ;
1564
; light8080:cpu|Mux3~4                                                                           ; 1       ;
1565
; light8080:cpu|uc_ret_addr[4]                                                                   ; 1       ;
1566
; light8080:cpu|uc_addr[4]                                                                       ; 1       ;
1567
; intr_ctrl:intrc|cpu_inst[4]                                                                    ; 1       ;
1568
; cpu_din[4]~8                                                                                   ; 1       ;
1569
; io_dout[4]                                                                                     ; 1       ;
1570
; light8080:cpu|Mux4~4                                                                           ; 1       ;
1571
; light8080:cpu|uc_ret_addr[3]                                                                   ; 1       ;
1572
; light8080:cpu|uc_addr[3]                                                                       ; 1       ;
1573
; cpu_din[3]~6                                                                                   ; 1       ;
1574
; io_dout[3]                                                                                     ; 1       ;
1575
; light8080:cpu|Mux5~7                                                                           ; 1       ;
1576
; light8080:cpu|uc_do_jmp~1                                                                      ; 1       ;
1577
; cpu_din[2]~4                                                                                   ; 1       ;
1578
; io_dout[2]                                                                                     ; 1       ;
1579
; light8080:cpu|Mux5~5                                                                           ; 1       ;
1580
; light8080:cpu|Mux5~4                                                                           ; 1       ;
1581
; light8080:cpu|uc_addr[2]                                                                       ; 1       ;
1582
; light8080:cpu|uc_ret_addr[2]                                                                   ; 1       ;
1583
; light8080:cpu|Mux6~4                                                                           ; 1       ;
1584
; light8080:cpu|uc_ret_addr[1]                                                                   ; 1       ;
1585
; cpu_din[1]~2                                                                                   ; 1       ;
1586
; io_dout[1]                                                                                     ; 1       ;
1587
; light8080:cpu|uc_addr[1]                                                                       ; 1       ;
1588
; light8080:cpu|Mux7~4                                                                           ; 1       ;
1589
; light8080:cpu|uc_ret_addr[0]                                                                   ; 1       ;
1590
; light8080:cpu|uc_addr[0]                                                                       ; 1       ;
1591
; cpu_din[0]~0                                                                                   ; 1       ;
1592
; io_dout[0]                                                                                     ; 1       ;
1593
; uart:uart|txShiftReg~3                                                                         ; 1       ;
1594
; uart:uart|txShiftReg[2]                                                                        ; 1       ;
1595
; p2dir[7]                                                                                       ; 1       ;
1596
; p2reg[7]                                                                                       ; 1       ;
1597
; p2dir[6]                                                                                       ; 1       ;
1598
; p2reg[6]                                                                                       ; 1       ;
1599
; p2dir[5]                                                                                       ; 1       ;
1600
; p2reg[5]                                                                                       ; 1       ;
1601
; p2dir[4]                                                                                       ; 1       ;
1602
; p2reg[4]                                                                                       ; 1       ;
1603
; p2dir[3]                                                                                       ; 1       ;
1604
; p2reg[3]                                                                                       ; 1       ;
1605
; p2dir[2]                                                                                       ; 1       ;
1606
; p2reg[2]                                                                                       ; 1       ;
1607
; p2dir[1]                                                                                       ; 1       ;
1608
; p2reg[1]                                                                                       ; 1       ;
1609
; p2dir[0]                                                                                       ; 1       ;
1610
; p2reg[0]                                                                                       ; 1       ;
1611
; p1dir[7]                                                                                       ; 1       ;
1612
; p1reg[7]                                                                                       ; 1       ;
1613
; p1dir[6]                                                                                       ; 1       ;
1614
; p1reg[6]                                                                                       ; 1       ;
1615
; p1dir[5]                                                                                       ; 1       ;
1616
; p1reg[5]                                                                                       ; 1       ;
1617
; p1dir[4]                                                                                       ; 1       ;
1618
; p1reg[4]                                                                                       ; 1       ;
1619
; p1dir[3]                                                                                       ; 1       ;
1620
; p1reg[3]                                                                                       ; 1       ;
1621
; p1dir[2]                                                                                       ; 1       ;
1622
; p1reg[2]                                                                                       ; 1       ;
1623
; p1dir[1]                                                                                       ; 1       ;
1624
; p1reg[1]                                                                                       ; 1       ;
1625
; p1dir[0]                                                                                       ; 1       ;
1626
; p1reg[0]                                                                                       ; 1       ;
1627
; uart:uart|txBusy~2                                                                             ; 1       ;
1628
; uart:uart|txBusy~1                                                                             ; 1       ;
1629
; uart:uart|txBusy~0                                                                             ; 1       ;
1630
; uart:uart|Equal5~9                                                                             ; 1       ;
1631
; uart:uart|Equal5~8                                                                             ; 1       ;
1632
; uartbaud[15]                                                                                   ; 1       ;
1633
; uartbaud[14]                                                                                   ; 1       ;
1634
; uart:uart|Equal5~7                                                                             ; 1       ;
1635
; uartbaud[13]                                                                                   ; 1       ;
1636
; uartbaud[12]                                                                                   ; 1       ;
1637
; uart:uart|Equal5~6                                                                             ; 1       ;
1638
; uartbaud[11]                                                                                   ; 1       ;
1639
; uartbaud[10]                                                                                   ; 1       ;
1640
; uart:uart|Equal5~5                                                                             ; 1       ;
1641
; uartbaud[9]                                                                                    ; 1       ;
1642
; uartbaud[8]                                                                                    ; 1       ;
1643
; uart:uart|Equal5~4                                                                             ; 1       ;
1644
; uart:uart|Equal5~3                                                                             ; 1       ;
1645
; uartbaud[7]                                                                                    ; 1       ;
1646
; uartbaud[6]                                                                                    ; 1       ;
1647
; uart:uart|Equal5~2                                                                             ; 1       ;
1648
; uartbaud[5]                                                                                    ; 1       ;
1649
; uartbaud[4]                                                                                    ; 1       ;
1650
; uart:uart|Equal5~1                                                                             ; 1       ;
1651
; uartbaud[3]                                                                                    ; 1       ;
1652
; uartbaud[2]                                                                                    ; 1       ;
1653
; uart:uart|Equal5~0                                                                             ; 1       ;
1654
; uartbaud[1]                                                                                    ; 1       ;
1655
; uartbaud[0]                                                                                    ; 1       ;
1656
; uart:uart|txShiftReg~1                                                                         ; 1       ;
1657
; uart:uart|txShiftReg[1]                                                                        ; 1       ;
1658
; uart:uart|serOut~0                                                                             ; 1       ;
1659
; uart:uart|txShiftReg[0]                                                                        ; 1       ;
1660
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a14 ; 1       ;
1661
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a9  ; 1       ;
1662
; uart:uart|rxBitCnt[3]~10                                                                       ; 1       ;
1663
; uart:uart|rxBitCnt[2]~9                                                                        ; 1       ;
1664
; uart:uart|rxBitCnt[2]~8                                                                        ; 1       ;
1665
; uart:uart|rxBitCnt[1]~7                                                                        ; 1       ;
1666
; uart:uart|rxBitCnt[1]~6                                                                        ; 1       ;
1667
; uart:uart|rxBitCnt[0]~5                                                                        ; 1       ;
1668
; uart:uart|rxBitCnt[0]~4                                                                        ; 1       ;
1669
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a7  ; 1       ;
1670
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a8  ; 1       ;
1671
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a15 ; 1       ;
1672
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a16 ; 1       ;
1673
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a22 ; 1       ;
1674
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a23 ; 1       ;
1675
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a24 ; 1       ;
1676
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a6  ; 1       ;
1677
; light8080:cpu|Add3~12                                                                          ; 1       ;
1678
; light8080:cpu|Add3~11                                                                          ; 1       ;
1679
; light8080:cpu|Add3~10                                                                          ; 1       ;
1680
; light8080:cpu|Add3~9                                                                           ; 1       ;
1681
; light8080:cpu|Add3~8                                                                           ; 1       ;
1682
; light8080:cpu|Add3~7                                                                           ; 1       ;
1683
; light8080:cpu|Add3~6                                                                           ; 1       ;
1684
; light8080:cpu|Add3~5                                                                           ; 1       ;
1685
; light8080:cpu|Add3~4                                                                           ; 1       ;
1686
; light8080:cpu|Add3~3                                                                           ; 1       ;
1687
; light8080:cpu|Add3~2                                                                           ; 1       ;
1688
; light8080:cpu|Add3~1                                                                           ; 1       ;
1689
; light8080:cpu|Add3~0                                                                           ; 1       ;
1690
; light8080:cpu|daa_res9[3]                                                                      ; 1       ;
1691
; light8080:cpu|daa_res9[2]                                                                      ; 1       ;
1692
; light8080:cpu|daa_res9[4]                                                                      ; 1       ;
1693
; light8080:cpu|Add1~17                                                                          ; 1       ;
1694
; light8080:cpu|Add1~16                                                                          ; 1       ;
1695
; light8080:cpu|Add1~15                                                                          ; 1       ;
1696
; light8080:cpu|Add1~14                                                                          ; 1       ;
1697
; light8080:cpu|Add1~13                                                                          ; 1       ;
1698
; light8080:cpu|Add1~12                                                                          ; 1       ;
1699
; light8080:cpu|Add1~11                                                                          ; 1       ;
1700
; light8080:cpu|Add1~10                                                                          ; 1       ;
1701
; light8080:cpu|Add1~9                                                                           ; 1       ;
1702
; light8080:cpu|Add1~8                                                                           ; 1       ;
1703
; light8080:cpu|Add1~7                                                                           ; 1       ;
1704
; light8080:cpu|Add1~6                                                                           ; 1       ;
1705
; light8080:cpu|Add1~5                                                                           ; 1       ;
1706
; light8080:cpu|Add1~4                                                                           ; 1       ;
1707
; light8080:cpu|daa_res9[7]                                                                      ; 1       ;
1708
; light8080:cpu|Add1~3                                                                           ; 1       ;
1709
; light8080:cpu|Add1~2                                                                           ; 1       ;
1710
; light8080:cpu|Add1~1                                                                           ; 1       ;
1711
; uart:uart|txBitCnt[3]~11                                                                       ; 1       ;
1712
; uart:uart|txBitCnt[2]~10                                                                       ; 1       ;
1713
; uart:uart|txBitCnt[2]~9                                                                        ; 1       ;
1714
; uart:uart|txBitCnt[1]~8                                                                        ; 1       ;
1715
; uart:uart|txBitCnt[1]~7                                                                        ; 1       ;
1716
; uart:uart|txBitCnt[0]~5                                                                        ; 1       ;
1717
; uart:uart|txBitCnt[0]~4                                                                        ; 1       ;
1718
; uart:uart|baudCount[15]~46                                                                     ; 1       ;
1719
; uart:uart|baudCount[14]~45                                                                     ; 1       ;
1720
; uart:uart|baudCount[14]~44                                                                     ; 1       ;
1721
; uart:uart|baudCount[13]~43                                                                     ; 1       ;
1722
; uart:uart|baudCount[13]~42                                                                     ; 1       ;
1723
; uart:uart|baudCount[12]~41                                                                     ; 1       ;
1724
; uart:uart|baudCount[12]~40                                                                     ; 1       ;
1725
; uart:uart|baudCount[11]~39                                                                     ; 1       ;
1726
; uart:uart|baudCount[11]~38                                                                     ; 1       ;
1727
; uart:uart|baudCount[10]~37                                                                     ; 1       ;
1728
; uart:uart|baudCount[10]~36                                                                     ; 1       ;
1729
; uart:uart|baudCount[9]~35                                                                      ; 1       ;
1730
; uart:uart|baudCount[9]~34                                                                      ; 1       ;
1731
; uart:uart|baudCount[8]~33                                                                      ; 1       ;
1732
; uart:uart|baudCount[8]~32                                                                      ; 1       ;
1733
; uart:uart|baudCount[7]~31                                                                      ; 1       ;
1734
; uart:uart|baudCount[7]~30                                                                      ; 1       ;
1735
; uart:uart|baudCount[6]~29                                                                      ; 1       ;
1736
; uart:uart|baudCount[6]~28                                                                      ; 1       ;
1737
; uart:uart|baudCount[5]~27                                                                      ; 1       ;
1738
; uart:uart|baudCount[5]~26                                                                      ; 1       ;
1739
; uart:uart|baudCount[4]~25                                                                      ; 1       ;
1740
; uart:uart|baudCount[4]~24                                                                      ; 1       ;
1741
; uart:uart|baudCount[3]~23                                                                      ; 1       ;
1742
; uart:uart|baudCount[3]~22                                                                      ; 1       ;
1743
; uart:uart|baudCount[2]~21                                                                      ; 1       ;
1744
; uart:uart|baudCount[2]~20                                                                      ; 1       ;
1745
; uart:uart|baudCount[1]~19                                                                      ; 1       ;
1746
; uart:uart|baudCount[1]~18                                                                      ; 1       ;
1747
; uart:uart|baudCount[0]~17                                                                      ; 1       ;
1748
; uart:uart|baudCount[0]~16                                                                      ; 1       ;
1749
; ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated|ram_block1a7                 ; 1       ;
1750
; light8080:cpu|addr_plus_1[6]~13                                                                ; 1       ;
1751
; ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated|ram_block1a6                 ; 1       ;
1752
; ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated|ram_block1a5                 ; 1       ;
1753
; light8080:cpu|addr_plus_1[5]~11                                                                ; 1       ;
1754
; light8080:cpu|addr_plus_1[4]~9                                                                 ; 1       ;
1755
; ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated|ram_block1a4                 ; 1       ;
1756
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a10 ; 1       ;
1757
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a11 ; 1       ;
1758
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a17 ; 1       ;
1759
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ram_block1a18 ; 1       ;
1760
; light8080:cpu|addr_plus_1[3]~7                                                                 ; 1       ;
1761
; ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated|ram_block1a3                 ; 1       ;
1762
; ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated|ram_block1a2                 ; 1       ;
1763
; light8080:cpu|addr_plus_1[2]~5                                                                 ; 1       ;
1764
; ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated|ram_block1a1                 ; 1       ;
1765
; light8080:cpu|addr_plus_1[1]~3                                                                 ; 1       ;
1766
; light8080:cpu|addr_plus_1[0]~1                                                                 ; 1       ;
1767
; ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated|ram_block1a0                 ; 1       ;
1768
; uart:uart|txBaudCnt[3]~10                                                                      ; 1       ;
1769
; uart:uart|txBaudCnt[2]~9                                                                       ; 1       ;
1770
; uart:uart|txBaudCnt[2]~8                                                                       ; 1       ;
1771
; uart:uart|txBaudCnt[1]~7                                                                       ; 1       ;
1772
; uart:uart|txBaudCnt[1]~6                                                                       ; 1       ;
1773
; uart:uart|txBaudCnt[0]~5                                                                       ; 1       ;
1774
; uart:uart|txBaudCnt[0]~4                                                                       ; 1       ;
1775 65 motilito
+------------------------------------------------------------------------------------------------+---------+
1776
 
1777
 
1778 88 motilito
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1779
; Fitter RAM Summary                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                               ;
1780
+---------------------------------------------------------------------------------------------+------+-------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+-------------------------------------------+-----------------------------------------------------------------------------------------------------+
1781
; Name                                                                                        ; Type ; Mode        ; Clock Mode   ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size  ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M4Ks ; MIF                                       ; Location                                                                                            ;
1782
+---------------------------------------------------------------------------------------------+------+-------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+-------------------------------------------+-----------------------------------------------------------------------------------------------------+
1783
; light8080:cpu|micro_rom:rom|altsyncram:Ram0_rtl_0|altsyncram_ts61:auto_generated|ALTSYNCRAM ; AUTO ; ROM         ; Single Clock ; 512          ; 32           ; --           ; --           ; yes                    ; no                      ; --                     ; --                      ; 16384 ; 512                         ; 29                          ; --                          ; --                          ; 14848               ; 4    ; db/l80soc.rom0_micro_rom_cd0ab125.hdl.mif ; M4K_X27_Y16, M4K_X27_Y13, M4K_X27_Y17, M4K_X27_Y18                                                  ;
1784
; ram_image:ram|altsyncram:ram_rtl_0|altsyncram_tv81:auto_generated|ALTSYNCRAM                ; AUTO ; Single Port ; Single Clock ; 4096         ; 8            ; --           ; --           ; yes                    ; no                      ; --                     ; --                      ; 32768 ; 4096                        ; 8                           ; --                          ; --                          ; 32768               ; 8    ; db/l80soc.ram0_ram_image_778cd75f.hdl.mif ; M4K_X27_Y15, M4K_X27_Y12, M4K_X27_Y10, M4K_X27_Y14, M4K_X27_Y11, M4K_X27_Y9, M4K_X27_Y8, M4K_X27_Y7 ;
1785
+---------------------------------------------------------------------------------------------+------+-------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+-------------------------------------------+-----------------------------------------------------------------------------------------------------+
1786 65 motilito
Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section.
1787
 
1788
 
1789
+-----------------------------------------------------+
1790
; Interconnect Usage Summary                          ;
1791
+----------------------------+------------------------+
1792
; Interconnect Resource Type ; Usage                  ;
1793
+----------------------------+------------------------+
1794 88 motilito
; Block interconnects        ; 1,103 / 26,052 ( 4 % ) ;
1795
; C16 interconnects          ; 9 / 1,156 ( < 1 % )    ;
1796
; C4 interconnects           ; 602 / 17,952 ( 3 % )   ;
1797
; Direct links               ; 140 / 26,052 ( < 1 % ) ;
1798 65 motilito
; Global clocks              ; 2 / 8 ( 25 % )         ;
1799 88 motilito
; Local interconnects        ; 299 / 8,256 ( 4 % )    ;
1800
; R24 interconnects          ; 8 / 1,020 ( < 1 % )    ;
1801
; R4 interconnects           ; 481 / 22,440 ( 2 % )   ;
1802 65 motilito
+----------------------------+------------------------+
1803
 
1804
 
1805
+----------------------------------------------------------------------------+
1806
; LAB Logic Elements                                                         ;
1807
+---------------------------------------------+------------------------------+
1808 88 motilito
; Number of Logic Elements  (Average = 12.37) ; Number of LABs  (Total = 51) ;
1809 65 motilito
+---------------------------------------------+------------------------------+
1810 88 motilito
; 1                                           ; 2                            ;
1811 66 motilito
; 2                                           ; 2                            ;
1812 88 motilito
; 3                                           ; 0                            ;
1813 66 motilito
; 4                                           ; 0                            ;
1814 88 motilito
; 5                                           ; 3                            ;
1815
; 6                                           ; 1                            ;
1816
; 7                                           ; 0                            ;
1817
; 8                                           ; 3                            ;
1818
; 9                                           ; 1                            ;
1819
; 10                                          ; 1                            ;
1820
; 11                                          ; 2                            ;
1821
; 12                                          ; 3                            ;
1822
; 13                                          ; 2                            ;
1823
; 14                                          ; 7                            ;
1824
; 15                                          ; 5                            ;
1825
; 16                                          ; 19                           ;
1826 65 motilito
+---------------------------------------------+------------------------------+
1827
 
1828
 
1829
+-------------------------------------------------------------------+
1830
; LAB-wide Signals                                                  ;
1831
+------------------------------------+------------------------------+
1832 88 motilito
; LAB-wide Signals  (Average = 1.78) ; Number of LABs  (Total = 51) ;
1833 65 motilito
+------------------------------------+------------------------------+
1834 88 motilito
; 1 Async. clear                     ; 16                           ;
1835
; 1 Clock                            ; 47                           ;
1836
; 1 Clock enable                     ; 12                           ;
1837
; 1 Sync. clear                      ; 7                            ;
1838
; 1 Sync. load                       ; 1                            ;
1839
; 2 Clock enables                    ; 8                            ;
1840 65 motilito
+------------------------------------+------------------------------+
1841
 
1842
 
1843
+-----------------------------------------------------------------------------+
1844
; LAB Signals Sourced                                                         ;
1845
+----------------------------------------------+------------------------------+
1846 88 motilito
; Number of Signals Sourced  (Average = 18.61) ; Number of LABs  (Total = 51) ;
1847 65 motilito
+----------------------------------------------+------------------------------+
1848
; 0                                            ; 0                            ;
1849 88 motilito
; 1                                            ; 1                            ;
1850 66 motilito
; 2                                            ; 3                            ;
1851 88 motilito
; 3                                            ; 0                            ;
1852 65 motilito
; 4                                            ; 0                            ;
1853 88 motilito
; 5                                            ; 0                            ;
1854
; 6                                            ; 1                            ;
1855
; 7                                            ; 1                            ;
1856
; 8                                            ; 1                            ;
1857 66 motilito
; 9                                            ; 0                            ;
1858 88 motilito
; 10                                           ; 0                            ;
1859
; 11                                           ; 0                            ;
1860 66 motilito
; 12                                           ; 2                            ;
1861 65 motilito
; 13                                           ; 0                            ;
1862 88 motilito
; 14                                           ; 4                            ;
1863
; 15                                           ; 2                            ;
1864
; 16                                           ; 2                            ;
1865
; 17                                           ; 1                            ;
1866
; 18                                           ; 1                            ;
1867
; 19                                           ; 3                            ;
1868
; 20                                           ; 5                            ;
1869
; 21                                           ; 5                            ;
1870
; 22                                           ; 5                            ;
1871
; 23                                           ; 1                            ;
1872
; 24                                           ; 3                            ;
1873
; 25                                           ; 3                            ;
1874
; 26                                           ; 0                            ;
1875
; 27                                           ; 2                            ;
1876
; 28                                           ; 1                            ;
1877
; 29                                           ; 2                            ;
1878
; 30                                           ; 1                            ;
1879 65 motilito
; 31                                           ; 0                            ;
1880 88 motilito
; 32                                           ; 1                            ;
1881 65 motilito
+----------------------------------------------+------------------------------+
1882
 
1883
 
1884
+--------------------------------------------------------------------------------+
1885
; LAB Signals Sourced Out                                                        ;
1886
+-------------------------------------------------+------------------------------+
1887 88 motilito
; Number of Signals Sourced Out  (Average = 8.88) ; Number of LABs  (Total = 51) ;
1888 65 motilito
+-------------------------------------------------+------------------------------+
1889
; 0                                               ; 0                            ;
1890 88 motilito
; 1                                               ; 3                            ;
1891 66 motilito
; 2                                               ; 3                            ;
1892 88 motilito
; 3                                               ; 2                            ;
1893 66 motilito
; 4                                               ; 3                            ;
1894 88 motilito
; 5                                               ; 3                            ;
1895
; 6                                               ; 3                            ;
1896
; 7                                               ; 1                            ;
1897
; 8                                               ; 4                            ;
1898
; 9                                               ; 1                            ;
1899
; 10                                              ; 6                            ;
1900
; 11                                              ; 7                            ;
1901 66 motilito
; 12                                              ; 3                            ;
1902 88 motilito
; 13                                              ; 3                            ;
1903
; 14                                              ; 5                            ;
1904 66 motilito
; 15                                              ; 1                            ;
1905 88 motilito
; 16                                              ; 3                            ;
1906 65 motilito
+-------------------------------------------------+------------------------------+
1907
 
1908
 
1909
+-----------------------------------------------------------------------------+
1910
; LAB Distinct Inputs                                                         ;
1911
+----------------------------------------------+------------------------------+
1912 88 motilito
; Number of Distinct Inputs  (Average = 18.25) ; Number of LABs  (Total = 51) ;
1913 65 motilito
+----------------------------------------------+------------------------------+
1914
; 0                                            ; 0                            ;
1915
; 1                                            ; 0                            ;
1916 66 motilito
; 2                                            ; 0                            ;
1917 88 motilito
; 3                                            ; 2                            ;
1918
; 4                                            ; 0                            ;
1919
; 5                                            ; 2                            ;
1920
; 6                                            ; 1                            ;
1921
; 7                                            ; 4                            ;
1922 65 motilito
; 8                                            ; 1                            ;
1923 88 motilito
; 9                                            ; 0                            ;
1924
; 10                                           ; 1                            ;
1925 65 motilito
; 11                                           ; 1                            ;
1926
; 12                                           ; 1                            ;
1927 88 motilito
; 13                                           ; 1                            ;
1928
; 14                                           ; 3                            ;
1929
; 15                                           ; 3                            ;
1930 66 motilito
; 16                                           ; 1                            ;
1931 88 motilito
; 17                                           ; 1                            ;
1932
; 18                                           ; 1                            ;
1933
; 19                                           ; 2                            ;
1934
; 20                                           ; 1                            ;
1935
; 21                                           ; 2                            ;
1936
; 22                                           ; 3                            ;
1937
; 23                                           ; 2                            ;
1938
; 24                                           ; 5                            ;
1939 66 motilito
; 25                                           ; 2                            ;
1940 88 motilito
; 26                                           ; 5                            ;
1941
; 27                                           ; 1                            ;
1942
; 28                                           ; 1                            ;
1943
; 29                                           ; 1                            ;
1944
; 30                                           ; 0                            ;
1945 65 motilito
; 31                                           ; 3                            ;
1946
+----------------------------------------------+------------------------------+
1947
 
1948
 
1949
+-------------------------------------------------------------------------+
1950
; Fitter Device Options                                                   ;
1951
+----------------------------------------------+--------------------------+
1952
; Option                                       ; Setting                  ;
1953
+----------------------------------------------+--------------------------+
1954
; Enable user-supplied start-up clock (CLKUSR) ; Off                      ;
1955
; Enable device-wide reset (DEV_CLRn)          ; Off                      ;
1956
; Enable device-wide output enable (DEV_OE)    ; Off                      ;
1957
; Enable INIT_DONE output                      ; Off                      ;
1958
; Configuration scheme                         ; Active Serial            ;
1959
; Error detection CRC                          ; Off                      ;
1960
; nCEO                                         ; As output driving ground ;
1961
; ASDO,nCSO                                    ; As input tri-stated      ;
1962
; Reserve all unused pins                      ; As output driving ground ;
1963
; Base pin-out file on sameframe device        ; Off                      ;
1964
+----------------------------------------------+--------------------------+
1965
 
1966
 
1967
+------------------------------------+
1968
; Operating Settings and Conditions  ;
1969
+---------------------------+--------+
1970
; Setting                   ; Value  ;
1971
+---------------------------+--------+
1972
; Nominal Core Voltage      ; 1.20 V ;
1973
; Low Junction Temperature  ; 0 °C   ;
1974
; High Junction Temperature ; 85 °C  ;
1975
+---------------------------+--------+
1976
 
1977
 
1978
+-----------------+
1979
; Fitter Messages ;
1980
+-----------------+
1981
Info: *******************************************************************
1982 88 motilito
Info: Running Quartus II 32-bit Fitter
1983
    Info: Version 11.1 Build 173 11/01/2011 SJ Web Edition
1984
    Info: Processing started: Sun Apr 29 15:05:36 2012
1985 65 motilito
Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off l80soc -c l80soc
1986 88 motilito
Warning (20028): Parallel compilation is not licensed and has been disabled
1987
Info (119006): Selected device EP2C8Q208C8 for design "l80soc"
1988
Info (21077): Low junction temperature is 0 degrees C
1989
Info (21077): High junction temperature is 85 degrees C
1990
Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
1991
Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
1992
Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
1993
    Info (176445): Device EP2C5Q208C8 is compatible
1994
    Info (176445): Device EP2C5Q208I8 is compatible
1995
    Info (176445): Device EP2C8Q208I8 is compatible
1996
Info (169124): Fitter converted 3 user pins into dedicated programming pins
1997
    Info (169125): Pin ~ASDO~ is reserved at location 1
1998
    Info (169125): Pin ~nCSO~ is reserved at location 2
1999
    Info (169125): Pin ~LVDS54p/nCEO~ is reserved at location 108
2000
Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
2001
Critical Warning (169085): No exact pin location assignment(s) for 24 pins of 24 total pins
2002
    Info (169086): Pin p1dio[0] not assigned to an exact location on the device
2003
    Info (169086): Pin p1dio[1] not assigned to an exact location on the device
2004
    Info (169086): Pin p1dio[2] not assigned to an exact location on the device
2005
    Info (169086): Pin p1dio[3] not assigned to an exact location on the device
2006
    Info (169086): Pin p1dio[4] not assigned to an exact location on the device
2007
    Info (169086): Pin p1dio[5] not assigned to an exact location on the device
2008
    Info (169086): Pin p1dio[6] not assigned to an exact location on the device
2009
    Info (169086): Pin p1dio[7] not assigned to an exact location on the device
2010
    Info (169086): Pin p2dio[0] not assigned to an exact location on the device
2011
    Info (169086): Pin p2dio[1] not assigned to an exact location on the device
2012
    Info (169086): Pin p2dio[2] not assigned to an exact location on the device
2013
    Info (169086): Pin p2dio[3] not assigned to an exact location on the device
2014
    Info (169086): Pin p2dio[4] not assigned to an exact location on the device
2015
    Info (169086): Pin p2dio[5] not assigned to an exact location on the device
2016
    Info (169086): Pin p2dio[6] not assigned to an exact location on the device
2017
    Info (169086): Pin p2dio[7] not assigned to an exact location on the device
2018
    Info (169086): Pin txd not assigned to an exact location on the device
2019
    Info (169086): Pin clock not assigned to an exact location on the device
2020
    Info (169086): Pin reset not assigned to an exact location on the device
2021
    Info (169086): Pin extint[1] not assigned to an exact location on the device
2022
    Info (169086): Pin extint[3] not assigned to an exact location on the device
2023
    Info (169086): Pin extint[2] not assigned to an exact location on the device
2024
    Info (169086): Pin extint[0] not assigned to an exact location on the device
2025
    Info (169086): Pin rxd not assigned to an exact location on the device
2026
Critical Warning (332012): Synopsys Design Constraints File file not found: 'l80soc.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
2027
Info (336004): TimeQuest will use the Classic Timing Analyzer's FMAX_REQUIREMENT assignment (or --fmax command-line argument) as default timing requirement. Any other Classic Timing Analyzer assignment will be ignored.
2028
Info (332144): No user constrained base clocks found in the design
2029
Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements
2030
Info (332111): Found 1 clocks
2031
    Info (332111):   Period   Clock Name
2032
    Info (332111): ======== ============
2033
    Info (332111):    1.000        clock
2034
Info (176353): Automatically promoted node clock (placed in PIN 23 (CLK0, LVDSCLK0p, Input))
2035
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2
2036
Info (176353): Automatically promoted node reset (placed in PIN 24 (CLK1, LVDSCLK0n, Input))
2037
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1
2038
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
2039
        Info (176357): Destination node light8080:cpu|inte_reg
2040
        Info (176357): Destination node light8080:cpu|inta_reg
2041
        Info (176357): Destination node light8080:cpu|condition_reg
2042
        Info (176357): Destination node light8080:cpu|delayed_ei
2043
        Info (176357): Destination node light8080:cpu|int_pending
2044
        Info (176357): Destination node light8080:cpu|flag_reg[0]
2045
        Info (176357): Destination node light8080:cpu|flag_reg[6]
2046
        Info (176357): Destination node light8080:cpu|flag_reg[2]
2047
        Info (176357): Destination node light8080:cpu|daa_res9[1]
2048
        Info (176357): Destination node light8080:cpu|daa_res9[2]
2049
        Info (176358): Non-global destination nodes limited to 10 nodes
2050
Info (176233): Starting register packing
2051
Extra Info (176273): Performing register packing on registers with non-logic cell location assignments
2052
Extra Info (176274): Completed register packing on registers with non-logic cell location assignments
2053
Extra Info (176236): Started Fast Input/Output/OE register processing
2054
Extra Info (176237): Finished Fast Input/Output/OE register processing
2055
Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density
2056
Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks
2057
Info (176235): Finished register packing
2058
    Extra Info (176219): No registers were packed into other blocks
2059
Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
2060
    Info (176211): Number of I/O pins in group: 22 (unused VREF, 3.3V VCCIO, 5 input, 1 output, 16 bidirectional)
2061
        Info (176212): I/O standards used: 3.3-V LVTTL.
2062
Info (176215): I/O bank details before I/O pin placement
2063
    Info (176214): Statistics of I/O banks
2064
        Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used --  28 pins available
2065
        Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  35 pins available
2066
        Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  34 pins available
2067
        Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  36 pins available
2068
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:04
2069
Info (170189): Fitter placement preparation operations beginning
2070
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:02
2071
Info (170191): Fitter placement operations beginning
2072
Info (170137): Fitter placement was successful
2073
Info (170192): Fitter placement operations ending: elapsed time is 00:00:07
2074
Info (170193): Fitter routing operations beginning
2075
Info (170195): Router estimated average interconnect usage is 2% of the available device resources
2076
    Info (170196): Router estimated peak interconnect usage is 7% of the available device resources in the region that extends from location X23_Y10 to location X34_Y19
2077
Info (170194): Fitter routing operations ending: elapsed time is 00:00:04
2078
Info (170199): The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
2079
    Info (170201): Optimizations that may affect the design's routability were skipped
2080
Info (306004): Started post-fitting delay annotation
2081
Warning (306006): Found 17 output pins without output pin load capacitance assignment
2082
    Info (306007): Pin "p1dio[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2083
    Info (306007): Pin "p1dio[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2084
    Info (306007): Pin "p1dio[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2085
    Info (306007): Pin "p1dio[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2086
    Info (306007): Pin "p1dio[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2087
    Info (306007): Pin "p1dio[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2088
    Info (306007): Pin "p1dio[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2089
    Info (306007): Pin "p1dio[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2090
    Info (306007): Pin "p2dio[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2091
    Info (306007): Pin "p2dio[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2092
    Info (306007): Pin "p2dio[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2093
    Info (306007): Pin "p2dio[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2094
    Info (306007): Pin "p2dio[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2095
    Info (306007): Pin "p2dio[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2096
    Info (306007): Pin "p2dio[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2097
    Info (306007): Pin "p2dio[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2098
    Info (306007): Pin "txd" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2099
Info (306005): Delay annotation completed successfully
2100
Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
2101
Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.
2102
Info: Quartus II 32-bit Fitter was successful. 0 errors, 6 warnings
2103
    Info: Peak virtual memory: 350 megabytes
2104
    Info: Processing ended: Sun Apr 29 15:06:10 2012
2105
    Info: Elapsed time: 00:00:34
2106
    Info: Total CPU time (on all processors): 00:00:23
2107 65 motilito
 
2108
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.