OpenCores
URL https://opencores.org/ocsvn/light8080/light8080/trunk

Subversion Repositories light8080

[/] [light8080/] [trunk/] [verilog/] [syn/] [altera_c2/] [l80soc.qsf] - Blame information for rev 88

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 65 motilito
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2010 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II
20
# Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition
21
# Date created = 15:57:36  February 17, 2012
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               l80soc_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C8Q208C8
41
set_global_assignment -name TOP_LEVEL_ENTITY l80soc
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.1 SP2"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:57:36  FEBRUARY 17, 2012"
44 88 motilito
set_global_assignment -name LAST_QUARTUS_VERSION 11.1
45 65 motilito
set_global_assignment -name EDA_SIMULATION_TOOL "Custom Verilog HDL"
46
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
47
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
48
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
49
set_global_assignment -name SEARCH_PATH ..\\..\\rtl\\verilog\\cores\\rs/
50
set_global_assignment -name SEARCH_PATH "c:\\altera\\81\\ip\\altera\\reed_solomon\\lib/"
51
set_global_assignment -name SEARCH_PATH ..\\..\\rtl\\verilog/
52
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
53
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
54
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
55
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
56
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
57
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
58
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
59
set_global_assignment -name FMAX_REQUIREMENT "15 ns"
60 66 motilito
set_global_assignment -name VERILOG_FILE ../../rtl/l80soc.v
61
set_global_assignment -name VERILOG_FILE ../../rtl/intr_ctrl.v
62
set_global_assignment -name VERILOG_FILE ../../rtl/light8080.v
63
set_global_assignment -name VERILOG_FILE ../../rtl/micro_rom.v
64
set_global_assignment -name VERILOG_FILE ../../rtl/ram_image.v
65
set_global_assignment -name VERILOG_FILE ../../rtl/uart.v
66 88 motilito
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
67 65 motilito
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.