OpenCores
URL https://opencores.org/ocsvn/linkruncca/linkruncca/trunk

Subversion Repositories linkruncca

[/] [linkruncca/] [trunk/] [src/] [cca.vh] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jaytang
`ifndef CCL_vh
2
`define CCL_vh
3
        parameter imwidth=512;
4
        parameter imheight=512;
5
 
6
        parameter x_bit=$clog2(imwidth);
7
        parameter y_bit=$clog2(imheight);
8
        parameter address_bit=x_bit-1;
9
        parameter data_bit=2*(x_bit+y_bit);
10
        parameter latency=4;
11
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.