OpenCores
URL https://opencores.org/ocsvn/linkruncca/linkruncca/trunk

Subversion Repositories linkruncca

[/] [linkruncca/] [trunk/] [src/] [row_buf.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jaytang
module row_buf(clk,datavalid,pix_in,pix_out1,pix_out2);
2
parameter length=640;
3
 
4
input clk,datavalid,pix_in;
5
output pix_out1,pix_out2;
6
 
7
reg [length-1:0] R;
8
//reg R[0:length-1];
9
 
10
always@(posedge clk)begin
11
        if(datavalid)begin
12
                R[length-1:1]<=R[length-2:0];
13
                R[0]<=pix_in;
14
        end
15
end
16
assign pix_out1=R[length-1];
17
assign pix_out2=R[length-2];
18
 
19
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.