OpenCores
URL https://opencores.org/ocsvn/loadbalancer/loadbalancer/trunk

Subversion Repositories loadbalancer

[/] [loadbalancer/] [trunk/] [db/] [altsyncram_pvi1.tdf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
--altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK0" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Stratix II" INDATA_ACLR_A="NONE" LOW_POWER_MODE="AUTO" NUMWORDS_A=1024 NUMWORDS_B=1024 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="UNREGISTERED" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="OLD_DATA" WIDTH_A=2 WIDTH_B=2 WIDTHAD_A=10 WIDTHAD_B=10 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48
2
--VERSION_BEGIN 7.2SP3 cbx_altsyncram 2007:08:27:07:35:30:SJ cbx_cycloneii 2007:06:13:15:47:32:SJ cbx_lpm_add_sub 2007:08:06:16:01:34:SJ cbx_lpm_compare 2007:06:21:15:54:06:SJ cbx_lpm_decode 2007:03:12:19:01:52:SJ cbx_lpm_mux 2007:05:11:14:07:38:SJ cbx_mgl 2007:08:03:15:48:12:SJ cbx_stratix 2007:10:18:20:36:46:SJ cbx_stratixii 2007:10:19:15:30:42:SJ cbx_stratixiii 2007:06:28:17:15:56:SJ cbx_util_mgl 2007:11:07:17:40:20:SJ  VERSION_END
3
 
4
 
5
-- Copyright (C) 1991-2007 Altera Corporation
6
--  Your use of Altera Corporation's design tools, logic functions
7
--  and other software and tools, and its AMPP partner logic
8
--  functions, and any output files from any of the foregoing
9
--  (including device programming or simulation files), and any
10
--  associated documentation or information are expressly subject
11
--  to the terms and conditions of the Altera Program License
12
--  Subscription Agreement, Altera MegaCore Function License
13
--  Agreement, or other applicable license agreement, including,
14
--  without limitation, that your use is for the sole purpose of
15
--  programming logic devices manufactured by Altera and sold by
16
--  Altera or its authorized distributors.  Please refer to the
17
--  applicable agreement for further details.
18
 
19
 
20
FUNCTION stratixii_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbrewe)
21
WITH ( CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, LOGICAL_RAM_NAME, mem_init0, mem_init1, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_DISABLE_CE_ON_INPUT_REGISTERS, PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_DISABLE_CE_ON_INPUT_REGISTERS, PORT_B_DISABLE_CE_ON_OUTPUT_REGISTERS, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE)
22
RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]);
23
 
24
--synthesis_resources = ram_bits (AUTO) 2048
25
OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION";
26
 
27
SUBDESIGN altsyncram_pvi1
28
(
29
        address_a[9..0] :       input;
30
        address_b[9..0] :       input;
31
        clock0  :       input;
32
        data_a[1..0]    :       input;
33
        q_b[1..0]       :       output;
34
        wren_a  :       input;
35
)
36
VARIABLE
37
        ram_block1a0 : stratixii_ram_block
38
                WITH (
39
                        CONNECTIVITY_CHECKING = "OFF",
40
                        DONT_POWER_OPTIMIZE = "ON",
41
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
42
                        MIXED_PORT_FEED_THROUGH_MODE = "old",
43
                        OPERATION_MODE = "dual_port",
44
                        PORT_A_ADDRESS_WIDTH = 10,
45
                        PORT_A_DATA_WIDTH = 1,
46
                        PORT_A_DISABLE_CE_ON_INPUT_REGISTERS = "on",
47
                        PORT_A_FIRST_ADDRESS = 0,
48
                        PORT_A_FIRST_BIT_NUMBER = 0,
49
                        PORT_A_LAST_ADDRESS = 1023,
50
                        PORT_A_LOGICAL_RAM_DEPTH = 1024,
51
                        PORT_A_LOGICAL_RAM_WIDTH = 2,
52
                        PORT_B_ADDRESS_CLOCK = "clock0",
53
                        PORT_B_ADDRESS_WIDTH = 10,
54
                        PORT_B_DATA_OUT_CLEAR = "none",
55
                        PORT_B_DATA_OUT_CLOCK = "none",
56
                        PORT_B_DATA_WIDTH = 1,
57
                        PORT_B_DISABLE_CE_ON_INPUT_REGISTERS = "on",
58
                        PORT_B_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
59
                        PORT_B_FIRST_ADDRESS = 0,
60
                        PORT_B_FIRST_BIT_NUMBER = 0,
61
                        PORT_B_LAST_ADDRESS = 1023,
62
                        PORT_B_LOGICAL_RAM_DEPTH = 1024,
63
                        PORT_B_LOGICAL_RAM_WIDTH = 2,
64
                        PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
65
                        RAM_BLOCK_TYPE = "AUTO"
66
                );
67
        ram_block1a1 : stratixii_ram_block
68
                WITH (
69
                        CONNECTIVITY_CHECKING = "OFF",
70
                        DONT_POWER_OPTIMIZE = "ON",
71
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
72
                        MIXED_PORT_FEED_THROUGH_MODE = "old",
73
                        OPERATION_MODE = "dual_port",
74
                        PORT_A_ADDRESS_WIDTH = 10,
75
                        PORT_A_DATA_WIDTH = 1,
76
                        PORT_A_DISABLE_CE_ON_INPUT_REGISTERS = "on",
77
                        PORT_A_FIRST_ADDRESS = 0,
78
                        PORT_A_FIRST_BIT_NUMBER = 1,
79
                        PORT_A_LAST_ADDRESS = 1023,
80
                        PORT_A_LOGICAL_RAM_DEPTH = 1024,
81
                        PORT_A_LOGICAL_RAM_WIDTH = 2,
82
                        PORT_B_ADDRESS_CLOCK = "clock0",
83
                        PORT_B_ADDRESS_WIDTH = 10,
84
                        PORT_B_DATA_OUT_CLEAR = "none",
85
                        PORT_B_DATA_OUT_CLOCK = "none",
86
                        PORT_B_DATA_WIDTH = 1,
87
                        PORT_B_DISABLE_CE_ON_INPUT_REGISTERS = "on",
88
                        PORT_B_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
89
                        PORT_B_FIRST_ADDRESS = 0,
90
                        PORT_B_FIRST_BIT_NUMBER = 1,
91
                        PORT_B_LAST_ADDRESS = 1023,
92
                        PORT_B_LOGICAL_RAM_DEPTH = 1024,
93
                        PORT_B_LOGICAL_RAM_WIDTH = 2,
94
                        PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK = "clock0",
95
                        RAM_BLOCK_TYPE = "AUTO"
96
                );
97
        address_a_wire[9..0]    : WIRE;
98
        address_b_wire[9..0]    : WIRE;
99
 
100
BEGIN
101
        ram_block1a[1..0].clk0 = clock0;
102
        ram_block1a[1..0].portaaddr[] = ( address_a_wire[9..0]);
103
        ram_block1a[0].portadatain[] = ( data_a[0..0]);
104
        ram_block1a[1].portadatain[] = ( data_a[1..1]);
105
        ram_block1a[1..0].portawe = wren_a;
106
        ram_block1a[1..0].portbaddr[] = ( address_b_wire[9..0]);
107
        ram_block1a[1..0].portbrewe = B"11";
108
        address_a_wire[] = address_a[];
109
        address_b_wire[] = address_b[];
110
        q_b[] = ( ram_block1a[1..0].portbdataout[0..0]);
111
END;
112
--VALID FILE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.