OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [rca_uvm/] [generated_tb/] [sim.log] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 vladimirar
[2019-03-19 17:50:29 EDT] EU_INC_PATH=`perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -x inc_path`  ; EU_DUT_SOURCE_PATH=`perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -x dut_source_path` ; EU_PROJECT=`perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -x project`  ; EU_REGMODEL_FILE=`perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -x regmodel_file` ; mkdir $EU_INC_PATH ; mv rca_driver_inc.sv rca_monitor_inc.sv  $EU_INC_PATH ; mkdir $EU_DUT_SOURCE_PATH ; mv design.sv  $EU_DUT_SOURCE_PATH ; if [ -f $EU_INC_PATH/$EU_REGMODEL_FILE ]; then cp $EU_INC_PATH/$EU_REGMODEL_FILE . ; fi; perl /playground_lib/easier_uvm_gen/easier_uvm_gen.pl -s ../../playground_lib/uvm_syoscb/src -c  rca.tpl ; cd $EU_PROJECT  && cd sim ; chmod 755 compile_vcs.sh ; source ./compile_vcs.sh ; cd /home/runner
2
Easier UVM Code Generator version 2016-04-18-EP
3
Copying dut files to            generated_tb/dut
4
Copying include files to        generated_tb/tb/include
5
Generating testbench in         generated_tb/tb
6
Generating simulator scripts in generated_tb/sim
7
Generated hierarchy of envs and agents:
8
  m_rca_agent
9
 
10
Warning-[LNX_OS_VERUN] Unsupported Linux version
11
  Linux version 'CentOS Linux release 7.1.1503 (Core) ' is not supported on
12
  'x86_64' officially, assuming linux compatibility by default. Set
13
  VCS_ARCH_OVERRIDE to linux or suse32 to override.
14
  Please refer to release notes for information on supported platforms.
15
 
16
 
17
Warning-[LINX_KRNL] Unsupported Linux kernel
18
  Linux kernel '3.13.0-71-generic' is not supported.
19
  Supported versions are 2.4* or 2.6*.
20
 
21
                         Chronologic VCS (TM)
22
         Version J-2014.12-SP1-1 -- Tue Mar 19 21:50:31 2019
23
               Copyright (c) 1991-2014 by Synopsys Inc.
24
                         ALL RIGHTS RESERVED
25
 
26
This program is proprietary and confidential information of Synopsys Inc.
27
and may be used and disclosed only as authorized in a license agreement
28
controlling such use and disclosure.
29
 
30
Parsing design file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'
31
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
32
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_version_defines.svh'.
33
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
34
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_global_defines.svh'.
35
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
36
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_message_defines.svh'.
37
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
38
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_phase_defines.svh'.
39
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
40
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_object_defines.svh'.
41
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
42
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_printer_defines.svh'.
43
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
44
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
45
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
46
Back to file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
47
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
48
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_sequence_defines.svh'.
49
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
50
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_callback_defines.svh'.
51
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
52
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_reg_defines.svh'.
53
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
54
Parsing included file '/apps/vcsmx/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'.
55
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
56
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
57
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.svh'.
58
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_hdl.svh'.
59
Back to file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.svh'.
60
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh'.
61
Back to file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.svh'.
62
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_regex.svh'.
63
Back to file '/apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.svh'.
64
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
65
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
66
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_coreservice.svh'.
67
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
68
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_version.svh'.
69
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
70
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_object_globals.svh'.
71
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
72
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_misc.svh'.
73
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
74
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_object.svh'.
75
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
76
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_pool.svh'.
77
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
78
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_queue.svh'.
79
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
80
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_factory.svh'.
81
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
82
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_registry.svh'.
83
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
84
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_spell_chkr.svh'.
85
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
86
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_resource.svh'.
87
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
88
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_resource_specializations.svh'.
89
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
90
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_resource_db.svh'.
91
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
92
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_config_db.svh'.
93
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
94
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_printer.svh'.
95
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
96
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_comparer.svh'.
97
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
98
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_packer.svh'.
99
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
100
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_links.svh'.
101
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
102
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_tr_database.svh'.
103
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
104
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_tr_stream.svh'.
105
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
106
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_recorder.svh'.
107
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
108
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_event_callback.svh'.
109
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
110
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_event.svh'.
111
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
112
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_barrier.svh'.
113
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
114
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_callback.svh'.
115
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
116
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_callback.svh'.
117
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
118
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_message.svh'.
119
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
120
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_catcher.svh'.
121
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
122
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_server.svh'.
123
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
124
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_handler.svh'.
125
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
126
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_report_object.svh'.
127
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
128
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_transaction.svh'.
129
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
130
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_phase.svh'.
131
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
132
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_domain.svh'.
133
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
134
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_bottomup_phase.svh'.
135
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
136
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_topdown_phase.svh'.
137
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
138
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_task_phase.svh'.
139
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
140
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_common_phases.svh'.
141
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
142
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_runtime_phases.svh'.
143
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
144
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_component.svh'.
145
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_root.svh'.
146
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_component.svh'.
147
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
148
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_objection.svh'.
149
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
150
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_heartbeat.svh'.
151
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
152
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_globals.svh'.
153
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
154
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_cmdline_processor.svh'.
155
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
156
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_traversal.svh'.
157
Back to file '/apps/vcsmx/etc/uvm-1.2/base/uvm_base.svh'.
158
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
159
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
160
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh'.
161
Back to file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
162
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh'.
163
Back to file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
164
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh'.
165
Back to file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
166
Parsing included file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh'.
167
Back to file '/apps/vcsmx/etc/uvm-1.2/dap/uvm_dap.svh'.
168
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
169
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
170
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh'.
171
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
172
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh'.
173
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
174
Parsing included file '/apps/vcsmx/etc/uvm-1.2/base/uvm_port_base.svh'.
175
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
176
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
177
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
178
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_imps.svh'.
179
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
180
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_ports.svh'.
181
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
182
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_exports.svh'.
183
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
184
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_analysis_port.svh'.
185
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
186
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh'.
187
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
188
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh'.
189
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
190
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh'.
191
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
192
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh'.
193
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
194
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
195
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
196
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_pair.svh'.
197
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
198
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_policies.svh'.
199
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
200
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_in_order_comparator.svh'.
201
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
202
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh'.
203
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
204
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_random_stimulus.svh'.
205
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
206
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_subscriber.svh'.
207
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
208
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_monitor.svh'.
209
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
210
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_driver.svh'.
211
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
212
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_push_driver.svh'.
213
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
214
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_scoreboard.svh'.
215
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
216
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_agent.svh'.
217
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
218
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_env.svh'.
219
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
220
Parsing included file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_test.svh'.
221
Back to file '/apps/vcsmx/etc/uvm-1.2/comps/uvm_comps.svh'.
222
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
223
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
224
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence_item.svh'.
225
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
226
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequencer_base.svh'.
227
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
228
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh'.
229
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
230
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh'.
231
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
232
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequencer.svh'.
233
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
234
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_push_sequencer.svh'.
235
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
236
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence_base.svh'.
237
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
238
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence.svh'.
239
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
240
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence_library.svh'.
241
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
242
Parsing included file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_sequence_builtin.svh'.
243
Back to file '/apps/vcsmx/etc/uvm-1.2/seq/uvm_seq.svh'.
244
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
245
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
246
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh'.
247
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
248
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh'.
249
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
250
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh'.
251
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
252
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh'.
253
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
254
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh'.
255
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
256
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh'.
257
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
258
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh'.
259
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
260
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh'.
261
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
262
Parsing included file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh'.
263
Back to file '/apps/vcsmx/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
264
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
265
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
266
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_item.svh'.
267
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
268
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_adapter.svh'.
269
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
270
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_predictor.svh'.
271
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
272
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_sequence.svh'.
273
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
274
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_cbs.svh'.
275
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
276
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_backdoor.svh'.
277
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
278
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_field.svh'.
279
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
280
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_vreg_field.svh'.
281
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
282
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg.svh'.
283
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
284
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_indirect.svh'.
285
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
286
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_fifo.svh'.
287
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
288
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_file.svh'.
289
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
290
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_mem_mam.svh'.
291
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
292
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_vreg.svh'.
293
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
294
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_mem.svh'.
295
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
296
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_map.svh'.
297
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
298
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_block.svh'.
299
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
300
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh'.
301
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
302
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh'.
303
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
304
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh'.
305
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
306
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh'.
307
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
308
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh'.
309
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
310
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh'.
311
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
312
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh'.
313
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
314
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'.
315
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
316
Parsing included file '/apps/vcsmx/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh'.
317
Back to file '/apps/vcsmx/etc/uvm-1.2/reg/uvm_reg_model.svh'.
318
Back to file '/apps/vcsmx/etc/uvm-1.2/uvm_pkg.sv'.
319
Parsing design file '../dut/design.sv'
320
Parsing design file '../tb/rca/sv/rca_pkg.sv'
321
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
322
Back to file '../tb/rca/sv/rca_pkg.sv'.
323
Parsing included file '../tb/rca/sv/rca_trans.sv'.
324
Back to file '../tb/rca/sv/rca_pkg.sv'.
325
Parsing included file '../tb/rca/sv/rca_config.sv'.
326
Back to file '../tb/rca/sv/rca_pkg.sv'.
327
Parsing included file '../tb/rca/sv/rca_driver.sv'.
328
Back to file '../tb/rca/sv/rca_pkg.sv'.
329
Parsing included file '../tb/rca/sv/rca_monitor.sv'.
330
Back to file '../tb/rca/sv/rca_pkg.sv'.
331
Parsing included file '../tb/rca/sv/rca_sequencer.sv'.
332
Back to file '../tb/rca/sv/rca_pkg.sv'.
333
Parsing included file '../tb/rca/sv/rca_coverage.sv'.
334
Back to file '../tb/rca/sv/rca_pkg.sv'.
335
Parsing included file '../tb/rca/sv/rca_agent.sv'.
336
Back to file '../tb/rca/sv/rca_pkg.sv'.
337
Parsing included file '../tb/rca/sv/rca_seq_lib.sv'.
338
Back to file '../tb/rca/sv/rca_pkg.sv'.
339
Parsing design file '../tb/rca/sv/rca_if.sv'
340
Parsing design file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'
341
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
342
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
343
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_cfg_pl.svh'.
344
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
345
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_cfg.svh'.
346
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
347
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_item.svh'.
348
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
349
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue_iterator_base.svh'.
350
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
351
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue_iterator_std.svh'.
352
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
353
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue.svh'.
354
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
355
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_queue_std.svh'.
356
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
357
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare_base.svh'.
358
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
359
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare.svh'.
360
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
361
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare_ooo.svh'.
362
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
363
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare_io.svh'.
364
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
365
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_compare_iop.svh'.
366
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
367
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_report_catcher.svh'.
368
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
369
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb_subscriber.svh'.
370
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
371
Parsing included file '../../../../playground_lib/uvm_syoscb/src/cl_syoscb.svh'.
372
Back to file '../../../../playground_lib/uvm_syoscb/src/pk_syoscb.sv'.
373
Parsing design file '../tb/top/sv/top_pkg.sv'
374
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
375
Back to file '../tb/top/sv/top_pkg.sv'.
376
Parsing included file '../tb/top/sv/top_config.sv'.
377
Back to file '../tb/top/sv/top_pkg.sv'.
378
Parsing included file '../tb/top/sv/top_seq_lib.sv'.
379
Back to file '../tb/top/sv/top_pkg.sv'.
380
Parsing included file '../tb/top/sv/top_env.sv'.
381
Back to file '../tb/top/sv/top_pkg.sv'.
382
Parsing design file '../tb/top_test/sv/top_test_pkg.sv'
383
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
384
Back to file '../tb/top_test/sv/top_test_pkg.sv'.
385
Parsing included file '../tb/top_test/sv/top_test.sv'.
386
Back to file '../tb/top_test/sv/top_test_pkg.sv'.
387
Parsing design file '../tb/top_tb/sv/top_th.sv'
388
Parsing design file '../tb/top_tb/sv/top_tb.sv'
389
Parsing included file '/apps/vcsmx/etc/uvm-1.2/uvm_macros.svh'.
390
Back to file '../tb/top_tb/sv/top_tb.sv'.
391
Top Level Modules:
392
       top_tb
393
TimeScale is 1 ns / 1 ps
394
Starting vcs inline pass...
395
12 modules and 0 UDP read.
396
recompiling package vcs_paramclassrepository
397
recompiling package _vcs_DPI_package
398
recompiling package uvm_pkg
399
recompiling module fa
400
recompiling module rca
401
recompiling package rca_pkg
402
recompiling module rca_if
403
recompiling package pk_syoscb
404
recompiling package top_pkg
405
recompiling package top_test_pkg
406
recompiling module top_tb
407
All of 12 modules done
408
rm -f _csrc*.so linux_scvhdl_*.so pre_vcsobj_*.so share_vcsobj_*.so
409
g++ -w  -pipe -m32 -DVCSMX -O -I/apps/vcsmx/include    -c /apps/vcsmx/etc/uvm-1.2/dpi/uvm_dpi.cc
410
ld -m elf_i386 -shared  -o .//../simv.daidir//_csrc0.so amcQwB.o
411
rm -f _csrc0.so
412
if [ -x ../simv ]; then chmod -x ../simv; fi
413
g++  -o ../simv  -m32 -m32   -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir  /apps/vcsmx/linux/lib/vpdlogstub.o uvm_dpi.o    _631_archive_1.so _csrc0.so  SIM_l.o  _csrc0.so    rmapats_mop.o rmapats.o rmar.o  rmar_llvm_0_1.o rmar_llvm_0_0.o          /apps/vcsmx/linux/lib/libzerosoft_rt_stubs.so /apps/vcsmx/linux/lib/libvirsim.so /apps/vcsmx/linux/lib/liberrorinf.so /apps/vcsmx/linux/lib/libsnpsmalloc.so    /apps/vcsmx/linux/lib/libvcsnew.so /apps/vcsmx/linux/lib/libuclinative.so   -Wl,-whole-archive /apps/vcsmx/linux/lib/libvcsucli.so -Wl,-no-whole-archive       ./../simv.daidir/vc_hdrs.o    /apps/vcsmx/linux/lib/vcs_save_restore_new.o /apps/vcsmx/linux/lib/ctype-stubs_32.a -ldl  -lc -lm -lpthread -ldl
414
../simv up to date
415
Chronologic VCS simulator copyright 1991-2014
416
Contains Synopsys proprietary information.
417
Compiler version J-2014.12-SP1-1; Runtime version J-2014.12-SP1-1;  Mar 19 21:50 2019
418
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES]
419
----------------------------------------------------------------
420
UVM-1.2.Synopsys
421
(C) 2007-2014 Mentor Graphics Corporation
422
(C) 2007-2014 Cadence Design Systems, Inc.
423
(C) 2006-2014 Synopsys, Inc.
424
(C) 2011-2013 Cypress Semiconductor Corp.
425
(C) 2013-2014 NVIDIA Corporation
426
----------------------------------------------------------------
427
 
428
  ***********       IMPORTANT RELEASE NOTES         ************
429
 
430
  You are using a version of the UVM library that has been compiled
431
  with `UVM_NO_DEPRECATED undefined.
432
  See http://www.eda.org/svdb/view.php?id=3313 for more details.
433
 
434
  You are using a version of the UVM library that has been compiled
435
  with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined.
436
  See http://www.eda.org/svdb/view.php?id=3770 for more details.
437
 
438
      (Specify +UVM_NO_RELNOTES to turn off this notice)
439
 
440
UVM_INFO @ 0: reporter [RNTST] Running test top_test...
441
 
442
Note-[FCICIO] Instance coverage is ON
443
/home/runner/generated_tb/sim/../tb/rca/sv/rca_coverage.sv, 32
444
rca_pkg, "rca_pkg::rca_coverage::m_cov"
445
  Instance coverage is set (option.per_instance = 1) for covergroup
446
  'rca_pkg::rca_coverage::m_cov'
447
 
448
  Covergroup Instance: top_tb.me.obj.m_cov
449
  Design hierarchy: rca_pkg
450
 
451
UVM_INFO ../tb/top/sv/top_env.sv(99) @ 0: uvm_test_top.m_env [top_env] Information printed from top_env::end_of_elaboration_phase method
452
UVM_INFO ../tb/top/sv/top_env.sv(100) @ 0: uvm_test_top.m_env [top_env] Verbosity threshold is         200
453
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_root.svh(589) @ 0: reporter [UVMTOP] UVM testbench topology:
454
--------------------------------------------------------------
455
Name                       Type                    Size  Value
456
--------------------------------------------------------------
457
uvm_test_top               top_test                -     @344
458
  m_env                    top_env                 -     @357
459
    m_rca_agent            rca_agent               -     @373
460
      analysis_port        uvm_analysis_port       -     @382
461
      m_driver             rca_driver              -     @432
462
        rsp_port           uvm_analysis_port       -     @451
463
        seq_item_port      uvm_seq_item_pull_port  -     @441
464
      m_monitor            rca_monitor             -     @412
465
        analysis_port      uvm_analysis_port       -     @421
466
      m_sequencer          uvm_sequencer           -     @461
467
        rsp_export         uvm_analysis_export     -     @470
468
        seq_item_export    uvm_seq_item_pull_imp   -     @588
469
        arbitration_queue  array                   0     -
470
        lock_queue         array                   0     -
471
        num_last_reqs      integral                32    'd1
472
        num_last_rsps      integral                32    'd1
473
    m_rca_coverage         rca_coverage            -     @392
474
      analysis_imp         uvm_analysis_imp        -     @401
475
--------------------------------------------------------------
476
 
477
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_factory.svh(1645) @ 0: reporter [UVM/FACTORY/PRINT]
478
#### Factory Configuration (*)
479
 
480
  No instance or type overrides are registered with this factory
481
 
482
All types registered with the factory: 76 total
483
  Type Name
484
  ---------
485
  cl_syoscb
486
  cl_syoscb_cfg
487
  cl_syoscb_cfg_pl
488
  cl_syoscb_compare
489
  cl_syoscb_compare_base
490
  cl_syoscb_compare_io
491
  cl_syoscb_compare_iop
492
  cl_syoscb_compare_ooo
493
  cl_syoscb_item
494
  cl_syoscb_queue
495
  cl_syoscb_queue_iterator_base
496
  cl_syoscb_queue_iterator_std
497
  cl_syoscb_queue_std
498
  cl_syoscb_subscriber
499
  rca_agent
500
  rca_coverage
501
  rca_default_seq
502
  rca_driver
503
  rca_monitor
504
  snps_uvm_reg_bank_group
505
  snps_uvm_reg_map
506
  top_default_seq
507
  top_env
508
  top_test
509
  trans
510
(*) Types with no associated type name will be printed as 
511
 
512
####
513
 
514
 
515
UVM_INFO ../tb/rca/sv/rca_monitor.sv(71) @ 10000: uvm_test_top.m_env.m_rca_agent.m_monitor [rca_monitor] a(1) + b(0) + ci(0) = co(0) and s(1)
516
UVM_INFO ../tb/rca/sv/rca_monitor.sv(71) @ 30000: uvm_test_top.m_env.m_rca_agent.m_monitor [rca_monitor] a(4) + b(0) + ci(1) = co(0) and s(5)
517
UVM_INFO ../tb/rca/sv/rca_monitor.sv(71) @ 50000: uvm_test_top.m_env.m_rca_agent.m_monitor [rca_monitor] a(4) + b(4) + ci(0) = co(0) and s(8)
518
UVM_INFO ../tb/rca/sv/rca_monitor.sv(71) @ 70000: uvm_test_top.m_env.m_rca_agent.m_monitor [rca_monitor] a(3) + b(2) + ci(0) = co(0) and s(5)
519
UVM_INFO ../tb/rca/sv/rca_monitor.sv(71) @ 90000: uvm_test_top.m_env.m_rca_agent.m_monitor [rca_monitor] a(0) + b(4) + ci(0) = co(0) and s(4)
520
UVM_INFO ../tb/rca/sv/rca_monitor.sv(71) @ 110000: uvm_test_top.m_env.m_rca_agent.m_monitor [rca_monitor] a(4) + b(1) + ci(1) = co(0) and s(6)
521
UVM_INFO ../tb/rca/sv/rca_monitor.sv(71) @ 130000: uvm_test_top.m_env.m_rca_agent.m_monitor [rca_monitor] a(4) + b(4) + ci(0) = co(0) and s(8)
522
UVM_INFO ../tb/rca/sv/rca_monitor.sv(71) @ 150000: uvm_test_top.m_env.m_rca_agent.m_monitor [rca_monitor] a(1) + b(1) + ci(1) = co(0) and s(3)
523
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_objection.svh(1270) @ 150000: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase
524
UVM_INFO ../tb/rca/sv/rca_coverage.sv(93) @ 150000: uvm_test_top.m_env.m_rca_coverage [rca_coverage] Coverage score = 30.9%
525
UVM_INFO /apps/vcsmx/etc/uvm-1.2/base/uvm_report_server.svh(847) @ 150000: reporter [UVM/REPORT/SERVER]
526
--- UVM Report Summary ---
527
 
528
** Report counts by severity
529
UVM_INFO :   16
530
UVM_WARNING :    0
531
UVM_ERROR :    0
532
UVM_FATAL :    0
533
** Report counts by id
534
[RNTST]     1
535
[TEST_DONE]     1
536
[UVM/FACTORY/PRINT]     1
537
[UVM/RELNOTES]     1
538
[UVMTOP]     1
539
[rca_coverage]     1
540
[rca_monitor]     8
541
[top_env]     2
542
 
543
$finish called from file "/apps/vcsmx/etc/uvm-1.2/base/uvm_root.svh", line 527.
544
$finish at simulation time               150000
545
           V C S   S i m u l a t i o n   R e p o r t
546
Time: 150000 ps
547
CPU Time:      0.380 seconds;       Data structure size:   0.2Mb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.