OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [rca_uvm/] [generated_tb/] [tb/] [rca/] [sv/] [rca_driver.sv] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 vladimirar
// You can insert code here by setting file_header_inc in file common.tpl
2
 
3
//=============================================================================
4
// Project  : generated_tb
5
//
6
// File Name: rca_driver.sv
7
//
8
//
9
// Version:   1.0
10
//
11
// Code created by Easier UVM Code Generator version 2016-04-18-EP on Tue Mar 19 21:50:30 2019
12
//=============================================================================
13
// Description: Driver for rca
14
//=============================================================================
15
 
16
`ifndef RCA_DRIVER_SV
17
`define RCA_DRIVER_SV
18
 
19
// You can insert code here by setting driver_inc_before_class in file rca.tpl
20
 
21
class rca_driver extends uvm_driver #(trans);
22
 
23
  `uvm_component_utils(rca_driver)
24
 
25
  virtual rca_if vif;
26
 
27
  extern function new(string name, uvm_component parent);
28
 
29
  // Methods run_phase and do_drive generated by setting driver_inc in file rca.tpl
30
  extern task run_phase(uvm_phase phase);
31
  extern task do_drive();
32
 
33
  // You can insert code here by setting driver_inc_inside_class in file rca.tpl
34
 
35
endclass : rca_driver
36
 
37
 
38
function rca_driver::new(string name, uvm_component parent);
39
  super.new(name, parent);
40
endfunction : new
41
 
42
 
43
task rca_driver::run_phase(uvm_phase phase);
44
  `uvm_info(get_type_name(), "run_phase", UVM_HIGH)
45
 
46
  forever
47
  begin
48
    seq_item_port.get_next_item(req);
49
      `uvm_info(get_type_name(), {"req item\n",req.sprint}, UVM_HIGH)
50
    do_drive();
51
    seq_item_port.item_done();
52
  end
53
endtask : run_phase
54
 
55
 
56
// Start of inlined include file generated_tb/tb/include/rca_driver_inc.sv
57
task rca_driver::do_drive();
58
  vif.a <= req.input1;
59
  vif.b <= req.input2;
60
  vif.ci <= req.carryinput;
61
  @(posedge vif.clk);
62
endtask// End of inlined include file
63
 
64
// You can insert code here by setting driver_inc_after_class in file rca.tpl
65
 
66
`endif // RCA_DRIVER_SV
67
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.