OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [rca_uvm/] [generated_tb/] [tb/] [rca/] [sv/] [rca_seq_lib.sv] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 vladimirar
// You can insert code here by setting file_header_inc in file common.tpl
2
 
3
//=============================================================================
4
// Project  : generated_tb
5
//
6
// File Name: rca_seq_lib.sv
7
//
8
//
9
// Version:   1.0
10
//
11
// Code created by Easier UVM Code Generator version 2016-04-18-EP on Tue Mar 19 21:50:30 2019
12
//=============================================================================
13
// Description: Sequence for agent rca
14
//=============================================================================
15
 
16
`ifndef RCA_SEQ_LIB_SV
17
`define RCA_SEQ_LIB_SV
18
 
19
class rca_default_seq extends uvm_sequence #(trans);
20
 
21
  `uvm_object_utils(rca_default_seq)
22
 
23
  extern function new(string name = "");
24
  extern task body();
25
 
26
`ifndef UVM_POST_VERSION_1_1
27
  // Functions to support UVM 1.2 objection API in UVM 1.1
28
  extern function uvm_phase get_starting_phase();
29
  extern function void set_starting_phase(uvm_phase phase);
30
`endif
31
 
32
endclass : rca_default_seq
33
 
34
 
35
function rca_default_seq::new(string name = "");
36
  super.new(name);
37
endfunction : new
38
 
39
 
40
task rca_default_seq::body();
41
  `uvm_info(get_type_name(), "Default sequence starting", UVM_HIGH)
42
 
43
  req = trans::type_id::create("req");
44
  start_item(req);
45
  if ( !req.randomize() )
46
    `uvm_error(get_type_name(), "Failed to randomize transaction")
47
  finish_item(req);
48
 
49
  `uvm_info(get_type_name(), "Default sequence completed", UVM_HIGH)
50
endtask : body
51
 
52
 
53
`ifndef UVM_POST_VERSION_1_1
54
function uvm_phase rca_default_seq::get_starting_phase();
55
  return starting_phase;
56
endfunction: get_starting_phase
57
 
58
 
59
function void rca_default_seq::set_starting_phase(uvm_phase phase);
60
  starting_phase = phase;
61
endfunction: set_starting_phase
62
`endif
63
 
64
 
65
// You can insert code here by setting agent_seq_inc in file rca.tpl
66
 
67
`endif // RCA_SEQ_LIB_SV
68
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.