OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [rca_uvm/] [generated_tb/] [tb/] [top/] [sv/] [top_config.sv] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 vladimirar
// You can insert code here by setting file_header_inc in file common.tpl
2
 
3
//=============================================================================
4
// Project  : generated_tb
5
//
6
// File Name: top_config.sv
7
//
8
//
9
// Version:   1.0
10
//
11
// Code created by Easier UVM Code Generator version 2016-04-18-EP on Tue Mar 19 21:50:30 2019
12
//=============================================================================
13
// Description: Configuration for top
14
//=============================================================================
15
 
16
`ifndef TOP_CONFIG_SV
17
`define TOP_CONFIG_SV
18
 
19
// You can insert code here by setting top_env_config_inc_before_class in file common.tpl
20
 
21
class top_config extends uvm_object;
22
 
23
  // Do not register config class with the factory
24
 
25
  virtual rca_if           rca_vif;
26
 
27
  uvm_active_passive_enum  is_active_rca       = UVM_ACTIVE;
28
  bit                      checks_enable_rca;
29
  bit                      coverage_enable_rca;
30
 
31
  // You can insert variables here by setting config_var in file common.tpl
32
 
33
  // You can remove new by setting top_env_config_generate_methods_inside_class = no in file common.tpl
34
 
35
  extern function new(string name = "");
36
 
37
  // You can insert code here by setting top_env_config_inc_inside_class in file common.tpl
38
 
39
endclass : top_config
40
 
41
 
42
// You can remove new by setting top_env_config_generate_methods_after_class = no in file common.tpl
43
 
44
function top_config::new(string name = "");
45
  super.new(name);
46
 
47
  // You can insert code here by setting top_env_config_append_to_new in file common.tpl
48
 
49
endfunction : new
50
 
51
 
52
// You can insert code here by setting top_env_config_inc_after_class in file common.tpl
53
 
54
`endif // TOP_CONFIG_SV
55
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.