OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [rca_uvm/] [generated_tb/] [tb/] [top_tb/] [sv/] [top_tb.sv] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 vladimirar
// You can insert code here by setting file_header_inc in file common.tpl
2
 
3
//=============================================================================
4
// Project  : generated_tb
5
//
6
// File Name: top_tb.sv
7
//
8
//
9
// Version:   1.0
10
//
11
// Code created by Easier UVM Code Generator version 2016-04-18-EP on Tue Mar 19 21:50:30 2019
12
//=============================================================================
13
// Description: Testbench
14
//=============================================================================
15
 
16
module top_tb;
17
 
18
  timeunit      1ns;
19
  timeprecision 1ps;
20
 
21
  `include "uvm_macros.svh"
22
 
23
  import uvm_pkg::*;
24
 
25
  import top_test_pkg::*;
26
  import top_pkg::top_config;
27
 
28
  // Configuration object for top-level environment
29
  top_config top_env_config;
30
 
31
  // Test harness
32
  top_th th();
33
 
34
  // You can insert code here by setting tb_inc_inside_module in file common.tpl
35
 
36
  // You can remove the initial block below by setting tb_generate_run_test = no in file common.tpl
37
 
38
  initial
39
  begin
40
    // You can insert code here by setting tb_prepend_to_initial in file common.tpl
41
 
42
    // Create and populate top-level configuration object
43
    top_env_config = new("top_env_config");
44
    if ( !top_env_config.randomize() )
45
      `uvm_error("top_tb", "Failed to randomize top-level configuration object" )
46
 
47
    top_env_config.rca_vif             = th.rca_if_0;
48
    top_env_config.is_active_rca       = UVM_ACTIVE;
49
    top_env_config.checks_enable_rca   = 1;
50
    top_env_config.coverage_enable_rca = 1;
51
 
52
 
53
    uvm_config_db #(top_config)::set(null, "uvm_test_top", "config", top_env_config);
54
    uvm_config_db #(top_config)::set(null, "uvm_test_top.m_env", "config", top_env_config);
55
 
56
    // You can insert code here by setting tb_inc_before_run_test in file common.tpl
57
 
58
    run_test();
59
  end
60
 
61
endmodule
62
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.