OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [rca_uvm/] [generated_tb/] [tb/] [top_test/] [sv/] [top_test.sv] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 vladimirar
// You can insert code here by setting file_header_inc in file common.tpl
2
 
3
//=============================================================================
4
// Project  : generated_tb
5
//
6
// File Name: top_test.sv
7
//
8
//
9
// Version:   1.0
10
//
11
// Code created by Easier UVM Code Generator version 2016-04-18-EP on Tue Mar 19 21:50:30 2019
12
//=============================================================================
13
// Description: Test class for top (included in package top_test_pkg)
14
//=============================================================================
15
 
16
`ifndef TOP_TEST_SV
17
`define TOP_TEST_SV
18
 
19
// You can insert code here by setting test_inc_before_class in file common.tpl
20
 
21
class top_test extends uvm_test;
22
 
23
  `uvm_component_utils(top_test)
24
 
25
  top_env m_env;
26
 
27
  extern function new(string name, uvm_component parent);
28
 
29
  // You can remove build_phase method by setting test_generate_methods_inside_class = no in file common.tpl
30
 
31
  extern function void build_phase(uvm_phase phase);
32
 
33
  // You can insert code here by setting test_inc_inside_class in file common.tpl
34
 
35
endclass : top_test
36
 
37
 
38
function top_test::new(string name, uvm_component parent);
39
  super.new(name, parent);
40
endfunction : new
41
 
42
 
43
// You can remove build_phase method by setting test_generate_methods_after_class = no in file common.tpl
44
 
45
function void top_test::build_phase(uvm_phase phase);
46
 
47
  // You can insert code here by setting test_prepend_to_build_phase in file common.tpl
48
 
49
  // You could modify any test-specific configuration object variables here
50
 
51
 
52
 
53
  m_env = top_env::type_id::create("m_env", this);
54
 
55
  // You can insert code here by setting test_append_to_build_phase in file common.tpl
56
 
57
endfunction : build_phase
58
 
59
 
60
// You can insert code here by setting test_inc_after_class in file common.tpl
61
 
62
`endif // TOP_TEST_SV
63
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.