OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [rca_uvm/] [generated_tb/] [tb/] [top_test/] [sv/] [top_test_pkg.sv] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 vladimirar
// You can insert code here by setting file_header_inc in file common.tpl
2
 
3
//=============================================================================
4
// Project  : generated_tb
5
//
6
// File Name: top_test_pkg.sv
7
//
8
//
9
// Version:   1.0
10
//
11
// Code created by Easier UVM Code Generator version 2016-04-18-EP on Tue Mar 19 21:50:30 2019
12
//=============================================================================
13
// Description: Test package for top
14
//=============================================================================
15
 
16
`ifndef TOP_TEST_PKG_SV
17
`define TOP_TEST_PKG_SV
18
 
19
package top_test_pkg;
20
 
21
  `include "uvm_macros.svh"
22
 
23
  import uvm_pkg::*;
24
 
25
  import rca_pkg::*;
26
  import top_pkg::*;
27
 
28
  `include "top_test.sv"
29
 
30
endpackage : top_test_pkg
31
 
32
`endif // TOP_TEST_PKG_SV
33
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.