OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [verify/] [common_pkg/] [common_pkg_body.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ring0_mipt
---------------------------------------------------------------------
2
-- Common package for LXP32 testbenches
3
--
4
-- Part of the LXP32 verification environment
5
--
6
-- Copyright (c) 2016 by Alex I. Kuznetsov
7
---------------------------------------------------------------------
8
 
9
library ieee;
10
use ieee.std_logic_1164.all;
11
use ieee.numeric_std.all;
12 6 ring0_mipt
use ieee.math_real.all;
13 2 ring0_mipt
 
14
package body common_pkg is
15 6 ring0_mipt
        procedure rand(variable st: inout rng_state_type; a,b: integer; variable x: out integer) is
16
                variable r: real;
17 2 ring0_mipt
        begin
18
                assert a<=b report "Invalid range" severity failure;
19 6 ring0_mipt
                uniform(st.seed1,st.seed2,r);
20
                r:=r*real(b-a+1);
21
                x:=a+integer(floor(r));
22
        end procedure;
23 2 ring0_mipt
 
24
        function hex_string(x: std_logic_vector) return string is
25
                variable xx: std_logic_vector(x'length-1 downto 0);
26
                variable i: integer:=0;
27
                variable ii: integer;
28
                variable c: integer;
29
                variable s: string(x'length downto 1);
30
        begin
31
                xx:=x;
32
                loop
33
                        ii:=i*4;
34
                        exit when ii>xx'high;
35
                        if ii+3<=xx'high then
36
                                c:=to_integer(unsigned(xx(ii+3 downto ii)));
37
                        else
38
                                c:=to_integer(unsigned(xx(xx'high downto ii)));
39
                        end if;
40
 
41
                        case c is
42
                        when 0 => s(i+1):='0';
43
                        when 1 => s(i+1):='1';
44
                        when 2 => s(i+1):='2';
45
                        when 3 => s(i+1):='3';
46
                        when 4 => s(i+1):='4';
47
                        when 5 => s(i+1):='5';
48
                        when 6 => s(i+1):='6';
49
                        when 7 => s(i+1):='7';
50
                        when 8 => s(i+1):='8';
51
                        when 9 => s(i+1):='9';
52
                        when 10 => s(i+1):='A';
53
                        when 11 => s(i+1):='B';
54
                        when 12 => s(i+1):='C';
55
                        when 13 => s(i+1):='D';
56
                        when 14 => s(i+1):='E';
57
                        when 15 => s(i+1):='F';
58
                        when others => s(i+1):='X';
59
                        end case;
60
 
61
                        i:=i+1;
62
                end loop;
63
                return s(i downto 1);
64
        end function;
65
end package body;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.