OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [filelist.dc] - Blame information for rev 58

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fafa1971
# Synthesis script for dc_shell (Tcl mode)
2
 
3
# Analyze
4 12 fafa1971
 
5 58 fafa1971
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_alu.v
6
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_mul.v
7
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_div.v
8
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_cpu.v
9
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_mmu.v
10
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_core.v
11 2 fafa1971
 
12 12 fafa1971
# Technology-independent elaboration and linking
13
 
14 43 fafa1971
set active_design m1_core
15 12 fafa1971
elaborate $active_design
16
current_design $active_design
17 2 fafa1971
link
18
uniquify
19
 
20 12 fafa1971
# Constraints and mapping on target library
21 2 fafa1971
 
22 12 fafa1971
create_clock -period 4.0 -waveform [list 0 2.0] sys_clock_i
23
set_input_delay 2.0 -clock sys_clock_i -max [all_inputs]
24
set_output_delay 1.0 -clock sys_clock_i -max [all_outputs]
25
set_dont_touch_network [list sys_clock_i sys_reset_i]
26
set_drive 0 [list sys_clock_i sys_reset_i]
27
set_wire_load_mode enclosed
28
set_max_area 0
29
set_fix_multiple_port_nets -buffer_constants -all
30
compile
31 2 fafa1971
 
32 12 fafa1971
# Export the mapped design
33 2 fafa1971
 
34 12 fafa1971
remove_unconnected_ports [find -hierarchy cell {"*"}]
35
write -format ddc -hierarchy -output $active_design.ddc
36
write -format verilog -hierarchy -output $active_design.sv
37 2 fafa1971
 
38 12 fafa1971
# Report area and timing
39 2 fafa1971
 
40 12 fafa1971
report_area -hierarchy > report_area.rpt
41
report_timing > report_timing.rpt
42
report_constraint -all_violators > report_constraint.rpt
43 2 fafa1971
 
44 12 fafa1971
quit
45
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.