OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [rtl/] [m1_core/] [m1_cpu.v] - Blame information for rev 64

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 33 fafa1971
/*
2 64 albert.wat
 * M1 Central Processing Unit
3 33 fafa1971
 */
4
 
5
`include "m1_defs.vh"
6
 
7
module m1_cpu (
8
 
9
    // System
10
    input sys_clock_i,                            // System Clock
11
    input sys_reset_i,                            // System Reset
12
    input sys_irq_i,                              // Interrupt Request
13
 
14
    // ALU
15
    output[31:0] alu_a_o,                         // ALU Operand A
16
    output[31:0] alu_b_o,                         // ALU Operand B
17
    output[4:0] alu_func_o,                       // ALU Function
18
    output alu_signed_o,                          // ALU operation is Signed
19 48 fafa1971
    input[32:0] alu_result_i,                     // ALU Result with Carry
20 33 fafa1971
 
21
    // Multiplier
22
    output reg mul_req_o,                         // Multiplier Request
23
    output[31:0] mul_a_o,                         // Multiplier Operand A
24
    output[31:0] mul_b_o,                         // Multiplier Operand B
25
    output mul_signed_o,                          // Multiplication is Signed
26
    input mul_ack_i,                              // Multiplier Ack
27
    input[63:0] mul_product_i,                    // Multiplier Product
28
 
29
    // Divider
30
    output reg div_req_o,                         // Divider Request
31
    output[31:0] div_a_o,                         // Divider Operand A
32
    output[31:0] div_b_o,                         // Divider Operand B
33
    output div_signed_o,                          // Division is Signed
34
    input div_ack_i,                              // Divider Ack
35
    input[31:0] div_quotient_i,                   // Divider Quotient
36
    input[31:0] div_remainder_i,                  // Divider Remainder
37
 
38
    // Instruction Memory
39
    output imem_read_o,                           // I$ Read
40
    output[31:0] imem_addr_o,                     // I$ Address
41
    input imem_done_i,                            // I$ Done
42
    input[31:0] imem_data_i,                      // I$ Data
43
 
44
    // Data Memory
45
    output dmem_read_o,                           // D$ Read
46
    output dmem_write_o,                          // D$ Write
47
    output[3:0] dmem_sel_o,                       // D$ Byte selector
48
    output[31:0] dmem_addr_o,                     // D$ Address
49
    output[31:0] dmem_data_o,                     // D$ Write Data
50
    input dmem_done_i,                            // D$ Done
51
    input[31:0] dmem_data_i                       // D$ Read Data
52
 
53
  );
54
 
55
  /*
56
   * Registers
57
   */
58
 
59
  // Register file
60 46 fafa1971
  reg[31:0] GPR[31:0];                            // General Purpose Registers
61
  reg[31:0] PC;                                   // Program Counter
62
  reg[31:0] HI, LO;                               // HI and LO registers (for multiplication/division)
63
  reg[31:0] SysCon[0:31];                         // System Control registers
64
 
65 33 fafa1971
  /*
66
   * Pipeline latches
67
   */
68
 
69
  // Latch 1: IF/ID
70
  reg[31:0] if_id_opcode;                                            // Instruction Register
71
  reg[31:0] if_id_addr, if_id_addrnext;                              // Addresses of the fetched opcode and of the next one
72
 
73
  // Latch 2: ID/EX
74
  reg[31:0] id_ex_opcode;
75
  reg[31:0] id_ex_addr, id_ex_addrnext;
76
  reg[31:0] id_ex_addrbranch, id_ex_addrjump, id_ex_addrjr;          // Evaluated jump addresses
77
  reg[31:0] id_ex_alu_a, id_ex_alu_b;                                // ALU operands
78
  reg[4:0] id_ex_alu_func;                                           // ALU operation code
79
  reg id_ex_alu_signed;                                              // ALU operation is signed
80
  reg id_ex_branch, id_ex_jump, id_ex_jr, id_ex_linked;              // Instruction is a jump
81
  reg id_ex_mult, id_ex_div;                                         // Instruction is a multiplication/division
82
  reg id_ex_load, id_ex_store;                                       // Instruction is a load/store
83
  reg[2:0] id_ex_size;                                               // Load/store size (see defs.h)
84
  reg[31:0] id_ex_store_value;                                       // Store value
85
  reg[4:0] id_ex_destreg;                                            // Destination register (GPR number)
86
  reg id_ex_desthi, id_ex_destlo;                                    // Destination register (HI/LO)
87 46 fafa1971
  reg[4:0] id_ex_destsyscon;                                         // Destination register (System Control)
88 33 fafa1971
 
89
  // Latch 3: EX/MEM
90
  reg[31:0] ex_mem_opcode;
91
  reg[31:0] ex_mem_addr, ex_mem_addrnext;
92
  reg[31:0] ex_mem_addrbranch, ex_mem_addrjump, ex_mem_addrjr;
93
  reg[63:0] ex_mem_aluout;                                           // ALU result
94 48 fafa1971
  reg ex_mem_carry;                                                  // ALU carry
95 33 fafa1971
  reg ex_mem_branch, ex_mem_jump, ex_mem_jr, ex_mem_linked;
96
  reg ex_mem_mult, ex_mem_div;
97 50 fafa1971
  reg ex_mem_load, ex_mem_store;
98
  reg[2:0] ex_mem_size;
99 33 fafa1971
  reg[31:0] ex_mem_store_value;
100
  reg[3:0] ex_mem_store_sel;                                         // Byte Selector on Stores
101 51 fafa1971
  reg[31:0] ex_mem_destold;                                          // Old value for partial rewrite
102 33 fafa1971
  reg[4:0] ex_mem_destreg;
103
  reg ex_mem_desthi, ex_mem_destlo;
104 46 fafa1971
  reg[4:0] ex_mem_destsyscon;
105
 
106 33 fafa1971
  // Latch 4: MEM/WB
107
  reg[31:0] mem_wb_opcode;
108
  reg[31:0] mem_wb_addr, mem_wb_addrnext;
109
  reg[63:0] mem_wb_value;                                            // Write-back value
110
  reg[4:0] mem_wb_destreg;
111
  reg mem_wb_desthi, mem_wb_destlo;
112 46 fafa1971
  reg [4:0] mem_wb_destsyscon;
113 33 fafa1971
 
114
  /*
115
   * Combinational logic
116 46 fafa1971
   */
117 33 fafa1971
 
118
  // ALU
119
  assign alu_a_o = id_ex_alu_a;
120
  assign alu_b_o = id_ex_alu_b;
121
  assign alu_func_o = id_ex_alu_func;
122
  assign alu_signed_o = id_ex_alu_signed;
123
 
124
  // Multiplier
125
  assign mul_a_o = id_ex_alu_a;
126
  assign mul_b_o = id_ex_alu_b;
127
  assign mul_signed_o = id_ex_alu_signed;
128
  wire mul_ready = (mul_req_o==mul_ack_i);  // Convert ABP ack to true/false format
129
  wire mul_busy = !mul_ready;
130
 
131
  // Divider
132
  assign div_a_o = id_ex_alu_a;
133
  assign div_b_o = id_ex_alu_b;
134
  assign div_signed_o = id_ex_alu_signed;
135
  wire div_ready = (div_req_o==div_ack_i);  // Convert ABP ack to true/false format
136
  wire div_busy = !div_ready;
137
 
138
  // Incremented Program Counter
139
  wire[31:0] PCnext = PC + 4;
140
 
141
  // Instruction Memory
142
  assign imem_read_o = 1;
143
  assign imem_addr_o = PC;
144
 
145
  // Data Memory
146
  assign dmem_addr_o = ex_mem_aluout;
147
  assign dmem_read_o = ex_mem_load;
148
  assign dmem_write_o = ex_mem_store;
149
  assign dmem_data_o = ex_mem_store_value;
150
  assign dmem_sel_o = ex_mem_store_sel;
151
 
152
  // Decode fields from the Instruction Register
153
  wire[5:0] if_id_op = if_id_opcode[31:26];                                     // Operation code
154
  wire[4:0] if_id_rs = if_id_opcode[25:21];                                     // Source register
155
  wire[4:0] if_id_rt = if_id_opcode[20:16];                                     // Target register
156
  wire[4:0] if_id_rd = if_id_opcode[15:11];                                     // Destination register
157
  wire[31:0] if_id_imm_signext = {{16{if_id_opcode[15]}}, if_id_opcode[15:0]};  // Immediate field with sign-extension
158
  wire[31:0] if_id_imm_zeroext = {16'b0, if_id_opcode[15:0]};                   // Immediate field with zero-extension
159
  wire[25:0] if_id_index = if_id_opcode[25:0];                                  // Index field
160
  wire[4:0] if_id_shamt = if_id_opcode[10:6];                                   // Shift amount
161
  wire[5:0] if_id_func = if_id_opcode[5:0];                                     // Function
162
 
163
  // True for still undecoded operations that read GPR[rs]
164
  wire if_id_reads_rs = (
165
    if_id_op==`OPCODE_BEQ || if_id_op==`OPCODE_BNE || if_id_op==`OPCODE_BLEZ || if_id_op==`OPCODE_BGTZ ||
166
    if_id_op==`OPCODE_ADDI || if_id_op==`OPCODE_ADDIU || if_id_op==`OPCODE_SLTI || if_id_op==`OPCODE_SLTIU ||
167
    if_id_op==`OPCODE_ANDI || if_id_op==`OPCODE_ORI || if_id_op==`OPCODE_XORI || if_id_op==`OPCODE_LB ||
168
    if_id_op==`OPCODE_LH || if_id_op==`OPCODE_LWL || if_id_op==`OPCODE_LW || if_id_op==`OPCODE_LBU ||
169
    if_id_op==`OPCODE_LHU || if_id_op==`OPCODE_LWR || if_id_op==`OPCODE_SB || if_id_op==`OPCODE_SH ||
170
    if_id_op==`OPCODE_SWL || if_id_op==`OPCODE_SW || if_id_op==`OPCODE_SWR || (
171
      if_id_op==`OPCODE_SPECIAL && (
172
        if_id_func==`FUNCTION_SLLV || if_id_func==`FUNCTION_SRLV || if_id_func==`FUNCTION_SRAV ||
173
        if_id_func==`FUNCTION_JR || if_id_func==`FUNCTION_JALR || if_id_func==`FUNCTION_MTHI ||
174
        if_id_func==`FUNCTION_MTLO || if_id_func==`FUNCTION_MULT || if_id_func==`FUNCTION_MULTU ||
175
        if_id_func==`FUNCTION_DIV || if_id_func==`FUNCTION_DIVU || if_id_func==`FUNCTION_ADD ||
176
        if_id_func==`FUNCTION_ADDU || if_id_func==`FUNCTION_SUB || if_id_func==`FUNCTION_SUBU ||
177
        if_id_func==`FUNCTION_AND || if_id_func==`FUNCTION_OR || if_id_func==`FUNCTION_XOR ||
178
        if_id_func==`FUNCTION_NOR || if_id_func==`FUNCTION_SLT || if_id_func==`FUNCTION_SLTU
179
      )
180
    ) || (
181
      if_id_op==`OPCODE_BCOND && (
182
        if_id_rt==`BCOND_BLTZ || if_id_rt==`BCOND_BGEZ || if_id_rt==`BCOND_BLTZAL || if_id_rt==`BCOND_BGEZAL
183
      )
184
   )
185
  );
186
 
187
  // True for still undecoded operations that read GPR[rt]
188
  wire if_id_reads_rt = (
189
    if_id_op==`OPCODE_BEQ || if_id_op==`OPCODE_BNE || if_id_op==`OPCODE_SB || if_id_op==`OPCODE_SH ||
190
    if_id_op==`OPCODE_SWL || if_id_op==`OPCODE_SW || if_id_op==`OPCODE_SWR || (
191
      if_id_op==`OPCODE_SPECIAL && (
192
        if_id_func==`FUNCTION_SLL || if_id_func==`FUNCTION_SRL || if_id_func==`FUNCTION_SRA ||
193
        if_id_func==`FUNCTION_SLLV || if_id_func==`FUNCTION_SRLV || if_id_func==`FUNCTION_SRAV ||
194
        if_id_func==`FUNCTION_MULT || if_id_func==`FUNCTION_MULTU || if_id_func==`FUNCTION_DIV ||
195
        if_id_func==`FUNCTION_DIVU || if_id_func==`FUNCTION_ADD || if_id_func==`FUNCTION_ADDU ||
196
        if_id_func==`FUNCTION_SUB || if_id_func==`FUNCTION_SUBU || if_id_func==`FUNCTION_AND ||
197
        if_id_func==`FUNCTION_OR || if_id_func==`FUNCTION_XOR || if_id_func==`FUNCTION_NOR ||
198
        if_id_func==`FUNCTION_SLT || if_id_func==`FUNCTION_SLTU
199
      )
200
    )
201
  );
202
 
203
  // True for still undecoded operations that read the HI register
204
  wire if_id_reads_hi = (if_id_op==`OPCODE_SPECIAL && if_id_func==`FUNCTION_MFHI);
205
 
206
  // True for still undecoded operations that read the LO register
207
  wire if_id_reads_lo = (if_id_op==`OPCODE_SPECIAL && if_id_func==`FUNCTION_MFLO);
208
 
209
  // Finally detect a RAW hazard
210
  wire raw_detected = (
211
    (if_id_reads_rs && if_id_rs!=0 &&
212
      (if_id_rs==id_ex_destreg || if_id_rs==ex_mem_destreg || if_id_rs==mem_wb_destreg)) ||
213
    (if_id_reads_rt && if_id_rt!=0 &&
214
      (if_id_rt==id_ex_destreg || if_id_rt==ex_mem_destreg || if_id_rt==mem_wb_destreg)) ||
215
    (if_id_reads_hi && (id_ex_desthi || ex_mem_desthi || mem_wb_desthi)) ||
216
    (if_id_reads_lo && (id_ex_destlo || ex_mem_destlo || mem_wb_destlo))
217
  );
218
 
219
  // Stall signals for all the stages
220
  wire if_stall, id_stall, ex_stall, mem_stall, wb_stall;
221
  assign if_stall = id_stall || !imem_done_i;
222
  assign id_stall = ex_stall || raw_detected;
223
  assign ex_stall = mem_stall || mul_busy || div_busy;
224
  assign mem_stall = wb_stall || ( (dmem_read_o||dmem_write_o) && !dmem_done_i);
225
  assign wb_stall = 0;
226
 
227 61 fafa1971
  // Branch taken
228
  wire branch_taken;
229
  assign branch_taken = ( ex_mem_branch==1 && (ex_mem_aluout==32'h00000001) );
230
 
231 46 fafa1971
  // Name the System Configuration registers
232
  wire[31:0] BadVAddr = SysCon[`SYSCON_BADVADDR];
233
  wire[31:0] Status = SysCon[`SYSCON_STATUS];
234
  wire[31:0] Cause = SysCon[`SYSCON_CAUSE];
235
  wire[31:0] EPC = SysCon[`SYSCON_EPC];
236
  wire[31:0] PrID = SysCon[`SYSCON_PRID];
237
 
238 33 fafa1971
  // Index for GPR initialization
239
  integer i;
240
 
241
  /*
242
   * Sequential logic
243
   */
244
 
245
  always @ (posedge sys_clock_i) begin
246
 
247
    // Initialize all the registers
248
    if (sys_reset_i==1) begin
249
 
250
      // GPRs initialization
251
      for(i=0; i<=31; i=i+1) GPR[i] <= 32'h00000000;
252
 
253
      // System registers
254
      PC <= `BOOT_ADDRESS;
255
      HI <= 0;
256
      LO <= 0;
257
 
258 46 fafa1971
      // Initialize system configuration registers
259
      for(i=0; i<=31; i=i+1) SysCon[i] <= 32'h00000000;
260
 
261 33 fafa1971
      // Initialize ABP requests to instantiated modules
262
      mul_req_o <= 0;
263
      div_req_o <= 0;
264
 
265
      // Latch 1: IF/ID
266
      if_id_opcode <= `NOP;
267
      if_id_addr <= `BOOT_ADDRESS;
268
      if_id_addrnext <= 0;
269
 
270
      // Latch 2: ID/EX
271
      id_ex_opcode <= 0;
272
      id_ex_addr <= 0;
273
      id_ex_addrnext <= 0;
274
      id_ex_addrjump <= 0;
275
      id_ex_addrbranch <= 0;
276
      id_ex_alu_a <= 0;
277
      id_ex_alu_b <= 0;
278
      id_ex_alu_func <= `ALU_OP_ADD;
279
      id_ex_alu_signed <= 0;
280
      id_ex_branch <= 0;
281
      id_ex_jump <= 0;
282
      id_ex_jr <=0;
283
      id_ex_linked <= 0;
284
      id_ex_mult <= 0;
285
      id_ex_div <= 0;
286
      id_ex_load <= 0;
287
      id_ex_store <= 0;
288
      id_ex_size <= 0;
289
      id_ex_store_value <= 0;
290
      id_ex_destreg <= 0;
291
      id_ex_desthi <= 0;
292
      id_ex_destlo <= 0;
293
 
294
      ex_mem_opcode <= 0;
295
      ex_mem_addr <= 0;
296
      ex_mem_addrnext <= 0;
297
      ex_mem_addrjump <= 0;
298
      ex_mem_addrbranch <= 0;
299
      ex_mem_aluout <= 0;
300 49 fafa1971
      ex_mem_carry <= 0;
301 33 fafa1971
      ex_mem_branch <= 0;
302
      ex_mem_jump <= 0;
303
      ex_mem_jr <= 0;
304
      ex_mem_linked <= 0;
305
      ex_mem_mult <= 0;
306
      ex_mem_div <= 0;
307
      ex_mem_load <= 0;
308
      ex_mem_store <= 0;
309
      ex_mem_store_value <= 0;
310
      ex_mem_store_sel <= 0;
311
      ex_mem_destreg <= 0;
312
      ex_mem_desthi <= 0;
313
      ex_mem_destlo <= 0;
314
 
315
      // Latch 4: MEM/WB
316
      mem_wb_opcode <= 0;
317
      mem_wb_addr <= 0;
318
      mem_wb_addrnext <= 0;
319
      mem_wb_value <= 0;
320
      mem_wb_destreg <= 0;
321
      mem_wb_desthi <= 0;
322
      mem_wb_destlo <= 0;
323
 
324
    end else begin
325
 
326
      $display("================> Time %t <================", $time);
327
 
328
      /*
329
       * Pipeline Stage 1: Instruction Fetch (IF)
330
       *
331
       * READ/WRITE:
332
       * - read memory
333
       * - write the IF/ID latch
334
       * - write the PC register
335
       *
336
       * DESCRIPTION:
337
       * This stage usually reads the next instruction from the PC address in memory and
338
       * then updates the PC value by incrementing it by 4.
339
       */
340
 
341 61 fafa1971
      // Handle hazards (if_stall = id_stall || !imem_done_i)
342 33 fafa1971
      if(if_stall) begin
343
 
344 61 fafa1971
        // IF stall backward propagated from ID
345 33 fafa1971
        if(id_stall) begin
346 61 fafa1971
          $display("INFO: CPU(%m)-IF: Fetching stalled like ID, latch keeps old value");
347
        // IMEM is not ready
348 33 fafa1971
        end else begin
349 61 fafa1971
          $display("INFO: CPU(%m)-IF: Fetching stalled due to IMEM, latch filled with bubble");
350 33 fafa1971
          if_id_opcode <= `BUBBLE;
351
        end
352
 
353
      end else begin
354
 
355 61 fafa1971
        // If branch taken update the Program Counter (branch_taken = ex_mem_branch==1 && ex_mem_aluout==32'h00000001)
356
        if(branch_taken) begin
357 33 fafa1971
 
358
          $display("INFO: CPU(%m)-IF: Bubble inserted due branch taken in EX/MEM instruction @ADDR=%X w/OPCODE=%X having ALUout=%X", ex_mem_addr, ex_mem_opcode, ex_mem_aluout);
359
          if_id_opcode <= `BUBBLE;
360
          PC <= ex_mem_addrbranch;
361
 
362 49 fafa1971
        // Jump to the required immediate address
363 33 fafa1971
        end else if(id_ex_jump==1) begin
364
 
365
          $display("INFO: CPU(%m)-IF: Bubble inserted due to jump in ID/EX instruction @ADDR=%X w/OPCODE=%X", id_ex_addr, id_ex_opcode);
366
          if_id_opcode <= `BUBBLE;
367
          PC <= id_ex_addrjump;
368
 
369
        // Jump to the required address stored in GPR
370
        end else if(id_ex_jr==1) begin
371
 
372
          $display("INFO: CPU(%m)-IF: Bubble inserted due to jump register in ID/EX instruction @ADDR=%X w/OPCODE=%X", id_ex_addr, id_ex_opcode);
373
          if_id_opcode <= `BUBBLE;
374
          PC <= id_ex_addrjr;
375
 
376
        // Normal execution
377
        end else begin
378
 
379
          $display("INFO: CPU(%m)-IF: Fetched from Program Counter @ADDR=%h getting OPCODE=%X", PC, imem_data_i);
380
          if_id_opcode <= imem_data_i;
381
          if_id_addr <= PC;
382
          if_id_addrnext <= PCnext;
383
          PC <= PCnext;
384
 
385
        end
386
      end
387
 
388
      /*
389
       * Pipeline Stage 2: Instruction Decode (ID)
390
       *
391
       * READ/WRITE:
392
       * - read the IF/ID latch
393
       * - read the register file
394
       * - write the ID/EX latch
395
       *
396
       * DESCRIPTION:
397
       * This stage decodes the instruction and puts the values for the ALU inputs
398
       */
399
 
400 61 fafa1971
      if(branch_taken) begin
401
        $display("INFO: CPU(%m)-ID: Branch taken and bubble inserted");
402
        id_ex_opcode <=`BUBBLE;
403
        id_ex_alu_a <= 0;
404
        id_ex_alu_b <= 0;
405
        id_ex_alu_func <= `ALU_OP_ADD;
406
        id_ex_alu_signed <= 0;
407
        id_ex_addr <= if_id_addr;
408
        id_ex_addrnext <= 0;
409
        id_ex_addrjump <= 0;
410
        id_ex_addrbranch <= 0;
411
        id_ex_branch <= 0;
412
        id_ex_jump <= 0;
413
        id_ex_jr <= 0;
414
        id_ex_linked <= 0;
415
        id_ex_mult <= 0;
416
        id_ex_div <= 0;
417
        id_ex_load <= 0;
418
        id_ex_store <= 0;
419
        id_ex_destreg <= 0;
420
        id_ex_desthi <= 0;
421
        id_ex_destlo <= 0;
422
      end else if(id_stall) begin
423 33 fafa1971
 
424
        if(ex_stall) begin
425 61 fafa1971
          $display("INFO: CPU(%m)-ID: Decoding stalled and latch kept");
426 33 fafa1971
        end else begin
427 61 fafa1971
          $display("INFO: CPU(%m)-ID: Decoding stalled and bubble inserted");
428 33 fafa1971
          id_ex_opcode <=`BUBBLE;
429
          id_ex_alu_a <= 0;
430
          id_ex_alu_b <= 0;
431
          id_ex_alu_func <= `ALU_OP_ADD;
432
          id_ex_alu_signed <= 0;
433
          id_ex_addr <= if_id_addr;
434
          id_ex_addrnext <= 0;
435
          id_ex_addrjump <= 0;
436
          id_ex_addrbranch <= 0;
437
          id_ex_branch <= 0;
438
          id_ex_jump <= 0;
439
          id_ex_jr <= 0;
440
          id_ex_linked <= 0;
441
          id_ex_mult <= 0;
442
          id_ex_div <= 0;
443
          id_ex_load <= 0;
444
          id_ex_store <= 0;
445
          id_ex_destreg <= 0;
446
          id_ex_desthi <= 0;
447
          id_ex_destlo <= 0;
448
        end
449
      end else begin
450
        id_ex_opcode <= if_id_opcode;
451
        id_ex_addr <= if_id_addr;
452
        id_ex_addrnext <= if_id_addrnext;
453
        id_ex_addrbranch <= if_id_addrnext + {if_id_imm_signext[29:0], 2'b00};
454
        id_ex_addrjump <= {if_id_addr[31:28], if_id_index, 2'b00};
455
        id_ex_addrjr <= GPR[if_id_rs];
456
 
457
        if(if_id_opcode==`BUBBLE) begin
458
          $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BUBBLE", if_id_addr, if_id_opcode);
459
          id_ex_alu_a <= 0;
460
          id_ex_alu_b <= 0;
461
          id_ex_alu_func <= `ALU_OP_ADD;
462
          id_ex_alu_signed <= 0;
463
          id_ex_branch <= 0;
464
          id_ex_jump <= 0;
465
          id_ex_jr <= 0;
466
          id_ex_linked <= 0;
467
          id_ex_mult <= 0;
468
          id_ex_div <= 0;
469
          id_ex_load <= 0;
470
          id_ex_store <= 0;
471
          id_ex_size <= 0;
472
          id_ex_store_value <= 0;
473
          id_ex_destreg <= 0;
474
          id_ex_desthi <= 0;
475
          id_ex_destlo <= 0;
476
        end else case(if_id_op)
477
          `OPCODE_J:
478
            begin
479
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as J %h", if_id_addr, if_id_opcode, if_id_index);
480
              id_ex_alu_a <= 0;
481
              id_ex_alu_b <= 0;
482
              id_ex_alu_func <= `ALU_OP_ADD;
483
              id_ex_alu_signed <= 0;
484
              id_ex_branch <= 0;
485
              id_ex_jump <= 1;
486
              id_ex_jr <= 0;
487
              id_ex_linked <= 0;
488
              id_ex_mult <= 0;
489
              id_ex_div <= 0;
490
              id_ex_load <= 0;
491
              id_ex_store <= 0;
492
              id_ex_size <= 0;
493
              id_ex_store_value <= 0;
494
              id_ex_destreg <= 0;
495
              id_ex_desthi <= 0;
496
              id_ex_destlo <= 0;
497
            end
498
          `OPCODE_JAL:
499
            begin
500
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as JAL %h", if_id_addr, if_id_opcode, if_id_index);
501
              id_ex_alu_a <= if_id_addrnext;
502
              id_ex_alu_b <= 4;
503
              id_ex_alu_func <= `ALU_OP_ADD;
504
              id_ex_alu_signed <= 0;
505
              id_ex_branch <= 0;
506
              id_ex_jump <= 1;
507
              id_ex_jr <= 0;
508
              id_ex_linked <= 1;
509
              id_ex_mult <= 0;
510
              id_ex_div <= 0;
511
              id_ex_load <= 0;
512
              id_ex_store <= 0;
513
              id_ex_size <= 0;
514
              id_ex_store_value <= 0;
515
              id_ex_destreg <= 31;
516
              id_ex_desthi <= 0;
517
              id_ex_destlo <= 0;
518
            end
519
          `OPCODE_BEQ:
520
            begin
521
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BEQ r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rs, if_id_rt, if_id_imm_signext);
522
              id_ex_alu_a <= GPR[if_id_rs];
523
              id_ex_alu_b <= GPR[if_id_rt];
524
              id_ex_alu_func <= `ALU_OP_SEQ;
525
              id_ex_alu_signed <= 0;
526
              id_ex_branch <= 1;
527
              id_ex_jump <= 0;
528
              id_ex_jr <= 0;
529
              id_ex_linked <= 0;
530
              id_ex_mult <= 0;
531
              id_ex_div <= 0;
532
              id_ex_load <= 0;
533
              id_ex_store <= 0;
534
              id_ex_size <= 0;
535
              id_ex_store_value <= 0;
536
              id_ex_destreg <= 0;
537
              id_ex_desthi <= 0;
538
              id_ex_destlo <= 0;
539
            end
540
          `OPCODE_BNE:
541
            begin
542
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BNE r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rs, if_id_rt, if_id_imm_signext);
543
              id_ex_alu_a <= GPR[if_id_rs];
544
              id_ex_alu_b <= GPR[if_id_rt];
545
              id_ex_alu_func <= `ALU_OP_SNE;
546
              id_ex_alu_signed <= 0;
547
              id_ex_branch <= 1;
548
              id_ex_jump <= 0;
549
              id_ex_jr <= 0;
550
              id_ex_linked <= 0;
551
              id_ex_mult <= 0;
552
              id_ex_div <= 0;
553
              id_ex_load <= 0;
554
              id_ex_store <= 0;
555
              id_ex_size <= 0;
556
              id_ex_store_value <= 0;
557
              id_ex_destreg <= 0;
558
              id_ex_desthi <= 0;
559
              id_ex_destlo <= 0;
560
            end
561
          `OPCODE_BLEZ:
562
            begin
563
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BLEZ r%d, %h", if_id_addr, if_id_opcode, if_id_rs, if_id_imm_signext);
564
              id_ex_alu_a <= GPR[if_id_rs];
565
              id_ex_alu_b <= 0;
566
              id_ex_alu_func <= `ALU_OP_SLE;
567
              id_ex_alu_signed <= 0;
568
              id_ex_branch <= 1;
569
              id_ex_jump <= 0;
570
              id_ex_jr <= 0;
571
              id_ex_linked <= 0;
572
              id_ex_mult <= 0;
573
              id_ex_div <= 0;
574
              id_ex_load <= 0;
575
              id_ex_store <= 0;
576
              id_ex_size <= 0;
577
              id_ex_store_value <= 0;
578
              id_ex_destreg <= 0;
579
              id_ex_desthi <= 0;
580
              id_ex_destlo <= 0;
581
            end
582
          `OPCODE_BGTZ:
583
            begin
584
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BGTZ r%d, %h", if_id_addr, if_id_opcode, if_id_rs, if_id_imm_signext);
585
              id_ex_alu_a <= GPR[if_id_rs];
586
              id_ex_alu_b <= 0;
587
              id_ex_alu_func <= `ALU_OP_SGT;
588
              id_ex_alu_signed <= 0;
589
              id_ex_branch <= 1;
590
              id_ex_jump <= 0;
591
              id_ex_jr <= 0;
592
              id_ex_linked <= 0;
593
              id_ex_mult <= 0;
594
              id_ex_div <= 0;
595
              id_ex_load <= 0;
596
              id_ex_store <= 0;
597
              id_ex_size <= 0;
598
              id_ex_store_value <= 0;
599
              id_ex_destreg <= 0;
600
              id_ex_desthi <= 0;
601
              id_ex_destlo <= 0;
602
            end
603
          `OPCODE_ADDI:
604
            begin
605
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as ADDI r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rt, if_id_rs, if_id_imm_signext);
606
              id_ex_alu_a <= GPR[if_id_rs];
607
              id_ex_alu_b <= if_id_imm_signext;
608
              id_ex_alu_func <= `ALU_OP_ADD;
609
              id_ex_alu_signed <= 1;
610
              id_ex_branch <= 0;
611
              id_ex_jump <= 0;
612
              id_ex_jr <= 0;
613
              id_ex_linked <= 0;
614
              id_ex_mult <= 0;
615
              id_ex_div <= 0;
616
              id_ex_load <= 0;
617
              id_ex_store <= 0;
618
              id_ex_size <= 0;
619
              id_ex_store_value <= 0;
620
              id_ex_destreg <= if_id_rt;
621
              id_ex_desthi <= 0;
622
              id_ex_destlo <= 0;
623
            end
624
          `OPCODE_ADDIU:
625
            begin
626
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as ADDIU r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rt, if_id_rs, if_id_imm_signext);
627
              id_ex_alu_a <= GPR[if_id_rs];
628
              id_ex_alu_b <= if_id_imm_signext;
629
              id_ex_alu_func <= `ALU_OP_ADD;
630
              id_ex_alu_signed <= 0;
631
              id_ex_branch <= 0;
632
              id_ex_jump <= 0;
633
              id_ex_jr <= 0;
634
              id_ex_linked <= 0;
635
              id_ex_mult <= 0;
636
              id_ex_div <= 0;
637
              id_ex_load <= 0;
638
              id_ex_store <= 0;
639
              id_ex_size <= 0;
640
              id_ex_store_value <= 0;
641
              id_ex_destreg <= if_id_rt;
642
              id_ex_desthi <= 0;
643
              id_ex_destlo <= 0;
644
            end
645
          `OPCODE_SLTI:
646
            begin
647
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SLTI r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rt, if_id_rs, if_id_imm_signext);
648
              id_ex_alu_a <= GPR[if_id_rs];
649
              id_ex_alu_b <= if_id_imm_signext;
650
              id_ex_alu_func <= `ALU_OP_SLT;
651
              id_ex_alu_signed <= 1;
652
              id_ex_branch <= 0;
653
              id_ex_jump <= 0;
654
              id_ex_jr <= 0;
655
              id_ex_linked <= 0;
656
              id_ex_mult <= 0;
657
              id_ex_div <= 0;
658
              id_ex_load <= 0;
659
              id_ex_store <= 0;
660
              id_ex_size <= 0;
661
              id_ex_store_value <= 0;
662
              id_ex_destreg <= if_id_rt;
663
              id_ex_desthi <= 0;
664
              id_ex_destlo <= 0;
665
            end
666
          `OPCODE_SLTIU:
667
            begin
668
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SLTIU r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rt, if_id_rs, if_id_imm_signext);
669
              id_ex_alu_a <= GPR[if_id_rs];
670
              id_ex_alu_b <= if_id_imm_signext;
671
              id_ex_alu_func <= `ALU_OP_SLT;
672
              id_ex_alu_signed <= 0;
673
              id_ex_branch <= 0;
674
              id_ex_jump <= 0;
675
              id_ex_jr <= 0;
676
              id_ex_linked <= 0;
677
              id_ex_mult <= 0;
678
              id_ex_div <= 0;
679
              id_ex_load <= 0;
680
              id_ex_store <= 0;
681
              id_ex_size <= 0;
682
              id_ex_store_value <= 0;
683
              id_ex_destreg <= if_id_rt;
684
              id_ex_desthi <= 0;
685
              id_ex_destlo <= 0;
686
            end
687
          `OPCODE_ANDI:
688
            begin
689
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as ANDI r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rt, if_id_rs, if_id_imm_zeroext);
690
              id_ex_alu_a <= GPR[if_id_rs];
691
              id_ex_alu_b <= if_id_imm_zeroext;
692
              id_ex_alu_func <= `ALU_OP_AND;
693
              id_ex_alu_signed <= 0;
694
              id_ex_branch <= 0;
695
              id_ex_jump <= 0;
696
              id_ex_jr <= 0;
697
              id_ex_linked <= 0;
698
              id_ex_mult <= 0;
699
              id_ex_div <= 0;
700
              id_ex_load <= 0;
701
              id_ex_store <= 0;
702
              id_ex_size <= 0;
703
              id_ex_store_value <= 0;
704
              id_ex_destreg <= if_id_rt;
705
              id_ex_desthi <= 0;
706
              id_ex_destlo <= 0;
707
            end
708
          `OPCODE_ORI:
709
            begin
710
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as ORI r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rt, if_id_rs, if_id_imm_zeroext);
711
              id_ex_alu_a <= GPR[if_id_rs];
712
              id_ex_alu_b <= if_id_imm_zeroext;
713
              id_ex_alu_func <= `ALU_OP_OR;
714
              id_ex_alu_signed <= 0;
715
              id_ex_branch <= 0;
716
              id_ex_jump <= 0;
717
              id_ex_jr <= 0;
718
              id_ex_linked <= 0;
719
              id_ex_mult <= 0;
720
              id_ex_div <= 0;
721
              id_ex_load <= 0;
722
              id_ex_store <= 0;
723
              id_ex_size <= 0;
724
              id_ex_store_value <= 0;
725
              id_ex_destreg <= if_id_rt;
726
              id_ex_desthi <= 0;
727
              id_ex_destlo <= 0;
728
            end
729
          `OPCODE_XORI:
730
            begin
731
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as XORI r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rt, if_id_rs, if_id_imm_zeroext);
732
              id_ex_alu_a <= GPR[if_id_rs];
733
              id_ex_alu_b <= if_id_imm_zeroext;
734
              id_ex_alu_func <= `ALU_OP_XOR;
735
              id_ex_alu_signed <= 0;
736
              id_ex_branch <= 0;
737
              id_ex_jump <= 0;
738
              id_ex_jr <= 0;
739
              id_ex_linked <= 0;
740
              id_ex_mult <= 0;
741
              id_ex_div <= 0;
742
              id_ex_load <= 0;
743
              id_ex_store <= 0;
744
              id_ex_size <= 0;
745
              id_ex_store_value <= 0;
746
              id_ex_destreg <= if_id_rt;
747
              id_ex_desthi <= 0;
748
              id_ex_destlo <= 0;
749
            end
750
          `OPCODE_LUI:
751
            begin
752
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LUI r%d, %h", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_zeroext);
753
              id_ex_alu_a <= if_id_imm_zeroext;
754
              id_ex_alu_b <= 16;
755
              id_ex_alu_func <= `ALU_OP_SLL;
756
              id_ex_alu_signed <= 0;
757
              id_ex_branch <= 0;
758
              id_ex_jump <= 0;
759
              id_ex_jr <= 0;
760
              id_ex_linked <= 0;
761
              id_ex_mult <= 0;
762
              id_ex_div <= 0;
763
              id_ex_load <= 0;
764
              id_ex_store <= 0;
765
              id_ex_size <= 0;
766
              id_ex_store_value <= 0;
767
              id_ex_destreg <= if_id_rt;
768
              id_ex_desthi <= 0;
769
              id_ex_destlo <= 0;
770
            end
771
          `OPCODE_COP0:
772
            begin
773
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as COP0", if_id_addr, if_id_opcode);
774
            end
775
          `OPCODE_COP1:
776
            begin
777
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as COP1", if_id_addr, if_id_opcode);
778
            end
779
          `OPCODE_COP2:
780
            begin
781
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as COP2", if_id_addr, if_id_opcode);
782
            end
783
          `OPCODE_COP3:
784
            begin
785
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as COP3", if_id_addr, if_id_opcode);
786
            end
787
          `OPCODE_LB:
788
            begin
789
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LB r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
790
              id_ex_alu_a <= GPR[if_id_rs];
791
              id_ex_alu_b <= if_id_imm_signext;
792
              id_ex_alu_func <= `ALU_OP_ADD;
793
              id_ex_alu_signed <= 1;
794
              id_ex_branch <= 0;
795
              id_ex_jump <= 0;
796
              id_ex_jr <= 0;
797
              id_ex_linked <= 0;
798
              id_ex_mult <= 0;
799
              id_ex_div <= 0;
800
              id_ex_load <= 1;
801
              id_ex_store <= 0;
802
              id_ex_size <= `SIZE_BYTE;
803
              id_ex_store_value <= 0;
804
              id_ex_destreg <= if_id_rt;
805
              id_ex_desthi <= 0;
806
              id_ex_destlo <= 0;
807
            end
808
          `OPCODE_LH:
809
            begin
810
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LH r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
811
              id_ex_alu_a <= GPR[if_id_rs];
812
              id_ex_alu_b <= if_id_imm_signext;
813
              id_ex_alu_func <= `ALU_OP_ADD;
814
              id_ex_alu_signed <= 1;
815
              id_ex_branch <= 0;
816
              id_ex_jump <= 0;
817
              id_ex_jr <= 0;
818
              id_ex_linked <= 0;
819
              id_ex_mult <= 0;
820
              id_ex_div <= 0;
821
              id_ex_load <= 1;
822
              id_ex_store <= 0;
823
              id_ex_size <= `SIZE_HALF;
824
              id_ex_store_value <= 0;
825
              id_ex_destreg <= if_id_rt;
826
              id_ex_desthi <= 0;
827
              id_ex_destlo <= 0;
828
            end
829
          `OPCODE_LWL:
830
            begin
831
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LWL r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
832
              id_ex_alu_a <= GPR[if_id_rs];
833
              id_ex_alu_b <= if_id_imm_signext;
834
              id_ex_alu_func <= `ALU_OP_ADD;
835
              id_ex_alu_signed <= 1;
836
              id_ex_branch <= 0;
837
              id_ex_jump <= 0;
838
              id_ex_jr <= 0;
839
              id_ex_linked <= 0;
840
              id_ex_mult <= 0;
841
              id_ex_div <= 0;
842
              id_ex_load <= 1;
843
              id_ex_store <= 0;
844
              id_ex_size <= `SIZE_LEFT;
845 51 fafa1971
              id_ex_store_value <= GPR[if_id_rt];
846 33 fafa1971
              id_ex_destreg <= if_id_rt;
847
              id_ex_desthi <= 0;
848
              id_ex_destlo <= 0;
849
            end
850
          `OPCODE_LW:
851
            begin
852
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LW r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
853
              id_ex_alu_a <= GPR[if_id_rs];
854
              id_ex_alu_b <= if_id_imm_signext;
855
              id_ex_alu_func <= `ALU_OP_ADD;
856
              id_ex_alu_signed <= 1;
857
              id_ex_branch <= 0;
858
              id_ex_jump <= 0;
859
              id_ex_jr <= 0;
860
              id_ex_linked <= 0;
861
              id_ex_mult <= 0;
862
              id_ex_div <= 0;
863
              id_ex_load <= 1;
864
              id_ex_store <= 0;
865
              id_ex_size <= `SIZE_WORD;
866
              id_ex_store_value <= 0;
867
              id_ex_destreg <= if_id_rt;
868
              id_ex_desthi <= 0;
869
              id_ex_destlo <= 0;
870
            end
871
          `OPCODE_LBU:
872
            begin
873
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LBU r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
874
              id_ex_alu_a <= GPR[if_id_rs];
875
              id_ex_alu_b <= if_id_imm_signext;
876
              id_ex_alu_func <= `ALU_OP_ADD;
877
              id_ex_alu_signed <= 0;
878
              id_ex_branch <= 0;
879
              id_ex_jump <= 0;
880
              id_ex_jr <= 0;
881
              id_ex_linked <= 0;
882
              id_ex_mult <= 0;
883
              id_ex_div <= 0;
884
              id_ex_load <= 1;
885
              id_ex_store <= 0;
886
              id_ex_size <= `SIZE_BYTE;
887
              id_ex_store_value <= 0;
888
              id_ex_destreg <= if_id_rt;
889
              id_ex_desthi <= 0;
890
              id_ex_destlo <= 0;
891
            end
892
          `OPCODE_LHU:
893
            begin
894
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LHU r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
895
              id_ex_alu_a <= GPR[if_id_rs];
896
              id_ex_alu_b <= if_id_imm_signext;
897
              id_ex_alu_func <= `ALU_OP_ADD;
898
              id_ex_alu_signed <= 0;
899
              id_ex_branch <= 0;
900
              id_ex_jump <= 0;
901
              id_ex_jr <= 0;
902
              id_ex_linked <= 0;
903
              id_ex_mult <= 0;
904
              id_ex_div <= 0;
905
              id_ex_load <= 1;
906
              id_ex_store <= 0;
907
              id_ex_size <= `SIZE_HALF;
908
              id_ex_store_value <= 0;
909
              id_ex_destreg <= if_id_rt;
910
              id_ex_desthi <= 0;
911
              id_ex_destlo <= 0;
912
            end
913
          `OPCODE_LWR:
914
            begin
915
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LWR r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
916
              id_ex_alu_a <= GPR[if_id_rs];
917
              id_ex_alu_b <= if_id_imm_signext;
918
              id_ex_alu_func <= `ALU_OP_ADD;
919
              id_ex_alu_signed <= 1;
920
              id_ex_branch <= 0;
921
              id_ex_jump <= 0;
922
              id_ex_jr <= 0;
923
              id_ex_linked <= 0;
924
              id_ex_mult <= 0;
925
              id_ex_div <= 0;
926
              id_ex_load <= 1;
927
              id_ex_store <= 0;
928
              id_ex_size <= `SIZE_RIGHT;
929 51 fafa1971
              id_ex_store_value <= GPR[if_id_rt];
930 33 fafa1971
              id_ex_destreg <= if_id_rt;
931
              id_ex_desthi <= 0;
932
              id_ex_destlo <= 0;
933
            end
934
          `OPCODE_SB:
935
            begin
936
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SB r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
937
              id_ex_alu_a <= GPR[if_id_rs];
938
              id_ex_alu_b <= if_id_imm_signext;
939
              id_ex_alu_func <= `ALU_OP_ADD;
940
              id_ex_alu_signed <= 1;
941
              id_ex_branch <= 0;
942
              id_ex_jump <= 0;
943
              id_ex_jr <= 0;
944
              id_ex_linked <= 0;
945
              id_ex_mult <= 0;
946
              id_ex_div <= 0;
947
              id_ex_load <= 0;
948
              id_ex_store <= 1;
949
              id_ex_size <= `SIZE_BYTE;
950
              id_ex_store_value <= GPR[if_id_rt];
951
              id_ex_destreg <= 0;
952
              id_ex_desthi <= 0;
953
              id_ex_destlo <= 0;
954
            end
955
          `OPCODE_SH:
956
            begin
957
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SH r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
958
              id_ex_alu_a <= GPR[if_id_rs];
959
              id_ex_alu_b <= if_id_imm_signext;
960
              id_ex_alu_func <= `ALU_OP_ADD;
961
              id_ex_alu_signed <= 1;
962
              id_ex_branch <= 0;
963
              id_ex_jump <= 0;
964
              id_ex_jr <= 0;
965
              id_ex_linked <= 0;
966
              id_ex_mult <= 0;
967
              id_ex_div <= 0;
968
              id_ex_load <= 0;
969
              id_ex_store <= 1;
970
              id_ex_size <= `SIZE_HALF;
971
              id_ex_store_value <= GPR[if_id_rt];
972
              id_ex_destreg <= 0;
973
              id_ex_desthi <= 0;
974
              id_ex_destlo <= 0;
975
             end
976
          `OPCODE_SWL:
977
            begin
978
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SWL r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
979
              id_ex_alu_a <= GPR[if_id_rs];
980
              id_ex_alu_b <= if_id_imm_signext;
981
              id_ex_alu_func <= `ALU_OP_ADD;
982
              id_ex_alu_signed <= 1;
983
              id_ex_branch <= 0;
984
              id_ex_jump <= 0;
985
              id_ex_jr <= 0;
986
              id_ex_linked <= 0;
987
              id_ex_mult <= 0;
988
              id_ex_div <= 0;
989
              id_ex_load <= 0;
990
              id_ex_store <= 1;
991
              id_ex_size <= `SIZE_LEFT;
992
              id_ex_store_value <= GPR[if_id_rt];
993
              id_ex_destreg <= 0;
994
              id_ex_desthi <= 0;
995
              id_ex_destlo <= 0;
996
            end
997
          `OPCODE_SW:
998
            begin
999
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SW r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
1000
              id_ex_alu_a <= GPR[if_id_rs];
1001
              id_ex_alu_b <= if_id_imm_signext;
1002
              id_ex_alu_func <= `ALU_OP_ADD;
1003
              id_ex_alu_signed <= 1;
1004
              id_ex_branch <= 0;
1005
              id_ex_jump <= 0;
1006
              id_ex_jr <= 0;
1007
              id_ex_linked <= 0;
1008
              id_ex_mult <= 0;
1009
              id_ex_div <= 0;
1010
              id_ex_load <= 0;
1011
              id_ex_store <= 1;
1012
              id_ex_size <= `SIZE_WORD;
1013
              id_ex_store_value <= GPR[if_id_rt];
1014
              id_ex_destreg <= 0;
1015
              id_ex_desthi <= 0;
1016
              id_ex_destlo <= 0;
1017
            end
1018
          `OPCODE_SWR:
1019
            begin
1020
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SWR r%d, %d(r%d)", if_id_addr, if_id_opcode, if_id_rt, if_id_imm_signext, if_id_rs);
1021
              id_ex_alu_a <= GPR[if_id_rs];
1022
              id_ex_alu_b <= if_id_imm_signext;
1023
              id_ex_alu_func <= `ALU_OP_ADD;
1024
              id_ex_alu_signed <= 1;
1025
              id_ex_branch <= 0;
1026
              id_ex_jump <= 0;
1027
              id_ex_jr <= 0;
1028
              id_ex_linked <= 0;
1029
              id_ex_mult <= 0;
1030
              id_ex_div <= 0;
1031
              id_ex_load <= 0;
1032
              id_ex_store <= 1;
1033
              id_ex_size <= `SIZE_RIGHT;
1034
              id_ex_store_value <= GPR[if_id_rt];
1035
              id_ex_destreg <= 0;
1036
              id_ex_desthi <= 0;
1037
              id_ex_destlo <= 0;
1038
            end
1039
          `OPCODE_LWC1:
1040
            begin
1041
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LWC1", if_id_addr, if_id_opcode);
1042
           end
1043
          `OPCODE_LWC2:
1044
            begin
1045
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LWC2", if_id_addr, if_id_opcode);
1046
            end
1047
          `OPCODE_LWC3:
1048
            begin
1049
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as LWC3", if_id_addr, if_id_opcode);
1050
            end
1051
          `OPCODE_SWC1:
1052
            begin
1053
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SWC1", if_id_addr, if_id_opcode);
1054
            end
1055
          `OPCODE_SWC2:
1056
            begin
1057
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SWC2", if_id_addr, if_id_opcode);
1058
            end
1059
          `OPCODE_SWC3:
1060
            begin
1061
              $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SWC3", if_id_addr, if_id_opcode);
1062
            end
1063
          `OPCODE_SPECIAL:
1064
            case(if_id_func)
1065
              `FUNCTION_SLL:
1066
                begin
1067
                  if(if_id_opcode==`NOP) $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as NOP", if_id_addr, if_id_opcode);
1068
                  else $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SLL r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rd, if_id_rt, if_id_shamt);
1069
                  id_ex_alu_a <= GPR[if_id_rt];
1070
                  id_ex_alu_b <= if_id_shamt;
1071
                  id_ex_alu_func <= `ALU_OP_SLL;
1072
                  id_ex_alu_signed <= 0;
1073
                  id_ex_branch <= 0;
1074
                  id_ex_jump <= 0;
1075
                  id_ex_jr <= 0;
1076
                  id_ex_linked <= 0;
1077
                  id_ex_mult <= 0;
1078
                  id_ex_div <= 0;
1079
                  id_ex_load <= 0;
1080
                  id_ex_store <= 0;
1081
                  id_ex_size <= 0;
1082
                  id_ex_store_value <= 0;
1083
                  id_ex_destreg <= if_id_rd;
1084
                  id_ex_desthi <= 0;
1085
                  id_ex_destlo <= 0;
1086
                end
1087
              `FUNCTION_SRL:
1088
                begin
1089
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SRL r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rd, if_id_rt, if_id_shamt);
1090
                  id_ex_alu_a <= GPR[if_id_rt];
1091
                  id_ex_alu_b <= if_id_shamt;
1092
                  id_ex_alu_func <= `ALU_OP_SRL;
1093
                  id_ex_alu_signed <= 0;
1094
                  id_ex_branch <= 0;
1095
                  id_ex_jump <= 0;
1096
                  id_ex_jr <= 0;
1097
                  id_ex_linked <= 0;
1098
                  id_ex_mult <= 0;
1099
                  id_ex_div <= 0;
1100
                  id_ex_load <= 0;
1101
                  id_ex_store <= 0;
1102
                  id_ex_size <= 0;
1103
                  id_ex_store_value <= 0;
1104
                  id_ex_destreg <= if_id_rd;
1105
                  id_ex_desthi <= 0;
1106
                  id_ex_destlo <= 0;
1107
                end
1108
              `FUNCTION_SRA:
1109
                begin
1110
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SRA r%d, r%d, %h", if_id_addr, if_id_opcode, if_id_rd, if_id_rt, if_id_shamt);
1111
                  id_ex_alu_a <= GPR[if_id_rt];
1112
                  id_ex_alu_b <= if_id_shamt;
1113
                  id_ex_alu_func <= `ALU_OP_SRA;
1114 49 fafa1971
                  id_ex_alu_signed <= 1;
1115 33 fafa1971
                  id_ex_branch <= 0;
1116
                  id_ex_jump <= 0;
1117
                  id_ex_jr <= 0;
1118
                  id_ex_linked <= 0;
1119
                  id_ex_mult <= 0;
1120
                  id_ex_div <= 0;
1121
                  id_ex_load <= 0;
1122
                  id_ex_store <= 0;
1123
                  id_ex_size <= 0;
1124
                  id_ex_store_value <= 0;
1125
                  id_ex_destreg <= if_id_rd;
1126
                  id_ex_desthi <= 0;
1127
                  id_ex_destlo <= 0;
1128
                end
1129
              `FUNCTION_SLLV:
1130
                begin
1131
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SLLV r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rt, if_id_rs);
1132
                  id_ex_alu_a <= GPR[if_id_rt];
1133
                  id_ex_alu_b <= GPR[if_id_rs];
1134
                  id_ex_alu_func <= `ALU_OP_SLL;
1135
                  id_ex_alu_signed <= 0;
1136
                  id_ex_branch <= 0;
1137
                  id_ex_jump <= 0;
1138
                  id_ex_jr <= 0;
1139
                  id_ex_linked <= 0;
1140
                  id_ex_mult <= 0;
1141
                  id_ex_div <= 0;
1142
                  id_ex_load <= 0;
1143
                  id_ex_store <= 0;
1144
                  id_ex_size <= 0;
1145
                  id_ex_store_value <= 0;
1146
                  id_ex_destreg <= if_id_rd;
1147
                  id_ex_desthi <= 0;
1148
                  id_ex_destlo <= 0;
1149
                end
1150
              `FUNCTION_SRLV:
1151
                begin
1152
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SRLV r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rt, if_id_rs);
1153
                  id_ex_alu_a <= GPR[if_id_rt];
1154
                  id_ex_alu_b <= GPR[if_id_rs];
1155
                  id_ex_alu_func <= `ALU_OP_SRL;
1156
                  id_ex_alu_signed <= 0;
1157
                  id_ex_branch <= 0;
1158
                  id_ex_jump <= 0;
1159
                  id_ex_jr <= 0;
1160
                  id_ex_linked <= 0;
1161
                  id_ex_mult <= 0;
1162
                  id_ex_div <= 0;
1163
                  id_ex_load <= 0;
1164
                  id_ex_store <= 0;
1165
                  id_ex_size <= 0;
1166
                  id_ex_store_value <= 0;
1167
                  id_ex_destreg <= if_id_rd;
1168
                  id_ex_desthi <= 0;
1169
                  id_ex_destlo <= 0;
1170
                end
1171
              `FUNCTION_SRAV:
1172
                begin
1173
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SRAV r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rt, if_id_rs);
1174
                  id_ex_alu_a <= GPR[if_id_rt];
1175
                  id_ex_alu_b <= GPR[if_id_rs];
1176
                  id_ex_alu_func <= `ALU_OP_SRA;
1177
                  id_ex_alu_signed <= 1;
1178
                  id_ex_branch <= 0;
1179
                  id_ex_jump <= 0;
1180
                  id_ex_jr <= 0;
1181
                  id_ex_linked <= 0;
1182
                  id_ex_mult <= 0;
1183
                  id_ex_div <= 0;
1184
                  id_ex_load <= 0;
1185
                  id_ex_store <= 0;
1186
                  id_ex_size <= 0;
1187
                  id_ex_store_value <= 0;
1188
                  id_ex_destreg <= if_id_rd;
1189
                  id_ex_desthi <= 0;
1190
                  id_ex_destlo <= 0;
1191
                end
1192
              `FUNCTION_JR:
1193
                begin
1194
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as JR r%d", if_id_addr, if_id_opcode, if_id_rs);
1195
                  id_ex_alu_a <= 0;
1196
                  id_ex_alu_b <= 0;
1197
                  id_ex_alu_func <= `ALU_OP_ADD;
1198
                  id_ex_alu_signed <= 0;
1199
                  id_ex_branch <= 0;
1200
                  id_ex_jump <= 0;
1201
                  id_ex_jr <= 1;
1202
                  id_ex_linked <= 0;
1203
                  id_ex_mult <= 0;
1204
                  id_ex_div <= 0;
1205
                  id_ex_load <= 0;
1206
                  id_ex_store <= 0;
1207
                  id_ex_size <= 0;
1208
                  id_ex_store_value <= 0;
1209
                  id_ex_destreg <= 0;
1210
                  id_ex_desthi <= 0;
1211
                  id_ex_destlo <= 0;
1212
                end
1213
              `FUNCTION_JALR:
1214
                begin
1215
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as JALR [r%d,] r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs);
1216
                  id_ex_alu_a <= if_id_addrnext;
1217
                  id_ex_alu_b <= 4;
1218
                  id_ex_alu_func <= `ALU_OP_ADD;
1219
                  id_ex_alu_signed <= 0;
1220
                  id_ex_branch <= 0;
1221
                  id_ex_jump <= 0;
1222
                  id_ex_jr <= 1;
1223
                  id_ex_linked <= 1;
1224
                  id_ex_mult <= 0;
1225
                  id_ex_div <= 0;
1226
                  id_ex_load <= 0;
1227
                  id_ex_store <= 0;
1228
                  id_ex_size <= 0;
1229
                  id_ex_store_value <= 0;
1230
                  id_ex_destreg <= if_id_rd;
1231
                  id_ex_desthi <= 0;
1232
                  id_ex_destlo <= 0;
1233
                end
1234
              `FUNCTION_SYSCALL:
1235
                begin
1236
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SYSCALL", if_id_addr, if_id_opcode);
1237
//                  id_ex_alu_a <= 0;
1238
//                  id_ex_alu_b <= 0;
1239
//                  id_ex_alu_func <= `ALU_OP_ADD;
1240
//                  id_ex_alu_signed <= 0;
1241
//                  id_ex_branch <= 0;
1242
//                  id_ex_jump <= 0;
1243
//                  id_ex_jr <= 0;
1244
//                  id_ex_linked <= 0;
1245
//                  id_ex_mult <= 0;
1246
//                  id_ex_div <= 0;
1247
//                  id_ex_load <= 0;
1248
//                  id_ex_store <= 0;
1249
//                  id_ex_size <= 0;
1250
//                  id_ex_store_value <= 0;
1251
//                  id_ex_destreg <= 0;
1252
//                  id_ex_desthi <= 0;
1253
//                  id_ex_destlo <= 0;
1254
                end
1255
              `FUNCTION_BREAK:
1256
                begin
1257
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BREAK", if_id_addr, if_id_opcode);
1258
//                  id_ex_alu_a <= 0;
1259
//                  id_ex_alu_b <= 0;
1260
//                  id_ex_alu_func <= `ALU_OP_ADD;
1261
//                  id_ex_alu_signed <= 0;
1262
//                  id_ex_branch <= 0;
1263
//                  id_ex_jump <= 0;
1264
//                  id_ex_jr <= 0;
1265
//                  id_ex_linked <= 0;
1266
//                  id_ex_mult <= 0;
1267
//                  id_ex_div <= 0;
1268
//                  id_ex_load <= 0;
1269
//                  id_ex_store <= 0;
1270
//                  id_ex_size <= 0;
1271
//                  id_ex_store_value <= 0;
1272
//                  id_ex_destreg <= 0;
1273
//                  id_ex_desthi <= 0;
1274
//                  id_ex_destlo <= 0;
1275
                end
1276
              `FUNCTION_MFHI:
1277
                begin
1278
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as MFHI r%d", if_id_addr, if_id_opcode, if_id_rd);
1279
                  id_ex_alu_a <= HI;
1280
                  id_ex_alu_b <= 0;
1281
                  id_ex_alu_func <= `ALU_OP_ADD;
1282
                  id_ex_alu_signed <= 0;
1283
                  id_ex_branch <= 0;
1284
                  id_ex_jump <= 0;
1285
                  id_ex_jr <= 0;
1286
                  id_ex_linked <= 0;
1287
                  id_ex_mult <= 0;
1288
                  id_ex_div <= 0;
1289
                  id_ex_load <= 0;
1290
                  id_ex_store <= 0;
1291
                  id_ex_size <= 0;
1292
                  id_ex_store_value <= 0;
1293
                  id_ex_destreg <= if_id_rd;
1294
                  id_ex_desthi <= 0;
1295
                  id_ex_destlo <= 0;
1296
                end
1297
              `FUNCTION_MTHI:
1298
                begin
1299
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as MTHI r%d", if_id_addr, if_id_opcode, if_id_rs);
1300
                  id_ex_alu_a <= GPR[if_id_rs];
1301
                  id_ex_alu_b <= 0;
1302
                  id_ex_alu_func <= `ALU_OP_ADD;
1303
                  id_ex_alu_signed <= 0;
1304
                  id_ex_branch <= 0;
1305
                  id_ex_jump <= 0;
1306
                  id_ex_jr <= 0;
1307
                  id_ex_linked <= 0;
1308
                  id_ex_mult <= 0;
1309
                  id_ex_div <= 0;
1310
                  id_ex_load <= 0;
1311
                  id_ex_store <= 0;
1312
                  id_ex_size <= 0;
1313
                  id_ex_store_value <= 0;
1314
                  id_ex_destreg <= 0;
1315
                  id_ex_desthi <= 1;
1316
                  id_ex_destlo <= 0;
1317
                end
1318
              `FUNCTION_MFLO:
1319
                begin
1320
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as MFLO r%d", if_id_addr, if_id_opcode, if_id_rd);
1321
                  id_ex_alu_a <= LO;
1322
                  id_ex_alu_b <= 0;
1323
                  id_ex_alu_func <= `ALU_OP_ADD;
1324
                  id_ex_alu_signed <= 0;
1325
                  id_ex_branch <= 0;
1326
                  id_ex_jump <= 0;
1327
                  id_ex_jr <= 0;
1328
                  id_ex_linked <= 0;
1329
                  id_ex_mult <= 0;
1330
                  id_ex_div <= 0;
1331
                  id_ex_load <= 0;
1332
                  id_ex_store <= 0;
1333
                  id_ex_size <= 0;
1334
                  id_ex_store_value <= 0;
1335
                  id_ex_destreg <= if_id_rd;
1336
                  id_ex_desthi <= 0;
1337
                  id_ex_destlo <= 0;
1338
                end
1339
              `FUNCTION_MTLO:
1340
                begin
1341
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as MTLO r%d", if_id_addr, if_id_opcode, if_id_rs);
1342
                  id_ex_alu_a <= GPR[if_id_rs];
1343
                  id_ex_alu_b <= 0;
1344
                  id_ex_alu_func <= `ALU_OP_ADD;
1345
                  id_ex_alu_signed <= 0;
1346
                  id_ex_branch <= 0;
1347
                  id_ex_jump <= 0;
1348
                  id_ex_linked <= 0;
1349
                  id_ex_mult <= 0;
1350
                  id_ex_div <= 0;
1351
                  id_ex_load <= 0;
1352
                  id_ex_store <= 0;
1353
                  id_ex_size <= 0;
1354
                  id_ex_store_value <= 0;
1355
                  id_ex_destreg <= 0;
1356
                  id_ex_desthi <= 0;
1357
                  id_ex_destlo <= 1;
1358
                end
1359
              `FUNCTION_MULT:
1360
                begin
1361
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as MULT r%d, r%d", if_id_addr, if_id_opcode, if_id_rs, if_id_rt);
1362
                  id_ex_alu_a <= GPR[if_id_rs];
1363
                  id_ex_alu_b <= GPR[if_id_rt];
1364
                  id_ex_alu_func <= `ALU_OP_MULT;
1365
                  id_ex_alu_signed <= 1;
1366
                  id_ex_branch <= 0;
1367
                  id_ex_jump <= 0;
1368
                  id_ex_jr <= 0;
1369
                  id_ex_linked <= 0;
1370
                  id_ex_mult <= 1;
1371
                  id_ex_div <= 0;
1372
                  id_ex_load <= 0;
1373
                  id_ex_store <= 0;
1374
                  id_ex_size <= 0;
1375
                  id_ex_store_value <= 0;
1376
                  id_ex_destreg <= 0;
1377
                  id_ex_desthi <= 1;
1378
                  id_ex_destlo <= 1;
1379
                  mul_req_o <= !mul_req_o;  // Toggle the ABP request
1380
                end
1381
              `FUNCTION_MULTU:
1382
                begin
1383
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as MULTU r%d, r%d", if_id_addr, if_id_opcode, if_id_rs, if_id_rt);
1384
                  id_ex_alu_a <= GPR[if_id_rs];
1385
                  id_ex_alu_b <= GPR[if_id_rt];
1386
                  id_ex_alu_func <= `ALU_OP_MULT;
1387
                  id_ex_alu_signed <= 0;
1388
                  id_ex_branch <= 0;
1389
                  id_ex_jump <= 0;
1390
                  id_ex_jr <= 0;
1391
                  id_ex_linked <= 0;
1392
                  id_ex_mult <= 1;
1393
                  id_ex_div <= 0;
1394
                  id_ex_load <= 0;
1395
                  id_ex_store <= 0;
1396
                  id_ex_size <= 0;
1397
                  id_ex_store_value <= 0;
1398
                  id_ex_destreg <= 0;
1399
                  id_ex_desthi <= 1;
1400
                  id_ex_destlo <= 1;
1401
                  mul_req_o <= !mul_req_o;  // Toggle the ABP request
1402
                end
1403
              `FUNCTION_DIV:
1404
                begin
1405
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as DIV r%d, r%d", if_id_addr, if_id_opcode, if_id_rs, if_id_rt);
1406
                  id_ex_alu_a <= GPR[if_id_rs];
1407
                  id_ex_alu_b <= GPR[if_id_rt];
1408
                  id_ex_alu_func <= `ALU_OP_DIV;
1409
                  id_ex_alu_signed <= 1;
1410
                  id_ex_branch <= 0;
1411
                  id_ex_jump <= 0;
1412
                  id_ex_jr <= 0;
1413
                  id_ex_linked <= 0;
1414
                  id_ex_mult <= 0;
1415
                  id_ex_div <= 1;
1416
                  id_ex_load <= 0;
1417
                  id_ex_store <= 0;
1418
                  id_ex_size <= 0;
1419
                  id_ex_store_value <= 0;
1420
                  id_ex_destreg <= 0;
1421
                  id_ex_desthi <= 1;
1422
                  id_ex_destlo <= 1;
1423
                  div_req_o <= !div_req_o;  // Toggle the ABP request
1424
                end
1425
              `FUNCTION_DIVU:
1426
                begin
1427
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as DIVU r%d, r%d", if_id_addr, if_id_opcode, if_id_rs, if_id_rt);
1428
                  id_ex_alu_a <= GPR[if_id_rs];
1429
                  id_ex_alu_b <= GPR[if_id_rt];
1430
                  id_ex_alu_func <= `ALU_OP_DIV;
1431
                  id_ex_alu_signed <= 0;
1432
                  id_ex_branch <= 0;
1433
                  id_ex_jump <= 0;
1434
                  id_ex_jr <= 0;
1435
                  id_ex_linked <= 0;
1436
                  id_ex_mult <= 0;
1437
                  id_ex_div <= 1;
1438
                  id_ex_load <= 0;
1439
                  id_ex_store <= 0;
1440
                  id_ex_size <= 0;
1441
                  id_ex_store_value <= 0;
1442
                  id_ex_destreg <= 0;
1443
                  id_ex_desthi <= 1;
1444
                  id_ex_destlo <= 1;
1445
                  div_req_o <= !div_req_o;  // Toggle the ABP request
1446
                end
1447
              `FUNCTION_ADD:
1448
                begin
1449
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as ADD r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1450
                  id_ex_alu_a <= GPR[if_id_rs];
1451
                  id_ex_alu_b <= GPR[if_id_rt];
1452
                  id_ex_alu_func <= `ALU_OP_ADD;
1453
                  id_ex_alu_signed <= 1;
1454
                  id_ex_branch <= 0;
1455
                  id_ex_jump <= 0;
1456
                  id_ex_jr <= 0;
1457
                  id_ex_linked <= 0;
1458
                  id_ex_mult <= 0;
1459
                  id_ex_div <= 0;
1460
                  id_ex_load <= 0;
1461
                  id_ex_store <= 0;
1462
                  id_ex_size <= 0;
1463
                  id_ex_store_value <= 0;
1464
                  id_ex_destreg <= if_id_rd;
1465
                  id_ex_desthi <= 0;
1466
                  id_ex_destlo <= 0;
1467
                end
1468
              `FUNCTION_ADDU:
1469
                begin
1470
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as ADDU r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1471
                  id_ex_alu_a <= GPR[if_id_rs];
1472
                  id_ex_alu_b <= GPR[if_id_rt];
1473
                  id_ex_alu_func <= `ALU_OP_ADD;
1474
                  id_ex_alu_signed <= 0;
1475
                  id_ex_branch <= 0;
1476
                  id_ex_jump <= 0;
1477
                  id_ex_jr <= 0;
1478
                  id_ex_linked <= 0;
1479
                  id_ex_mult <= 0;
1480
                  id_ex_div <= 0;
1481
                  id_ex_load <= 0;
1482
                  id_ex_store <= 0;
1483
                  id_ex_size <= 0;
1484
                  id_ex_store_value <= 0;
1485
                  id_ex_destreg <= if_id_rd;
1486
                  id_ex_desthi <= 0;
1487
                  id_ex_destlo <= 0;
1488
                end
1489
              `FUNCTION_SUB:
1490
                begin
1491
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SUB r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1492
                  id_ex_alu_a <= GPR[if_id_rs];
1493
                  id_ex_alu_b <= GPR[if_id_rt];
1494
                  id_ex_alu_func <= `ALU_OP_SUB;
1495
                  id_ex_alu_signed <= 1;
1496
                  id_ex_branch <= 0;
1497
                  id_ex_jump <= 0;
1498
                  id_ex_jr <= 0;
1499
                  id_ex_linked <= 0;
1500
                  id_ex_mult <= 0;
1501
                  id_ex_div <= 0;
1502
                  id_ex_load <= 0;
1503
                  id_ex_store <= 0;
1504
                  id_ex_size <= 0;
1505
                  id_ex_store_value <= 0;
1506
                  id_ex_destreg <= if_id_rd;
1507
                  id_ex_desthi <= 0;
1508
                  id_ex_destlo <= 0;
1509
                end
1510
              `FUNCTION_SUBU:
1511
                begin
1512
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SUBU r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1513
                  id_ex_alu_a <= GPR[if_id_rs];
1514
                  id_ex_alu_b <= GPR[if_id_rt];
1515
                  id_ex_alu_func <= `ALU_OP_SUB;
1516
                  id_ex_alu_signed <= 0;
1517
                  id_ex_branch <= 0;
1518
                  id_ex_jump <= 0;
1519
                  id_ex_jr <= 0;
1520
                  id_ex_linked <= 0;
1521
                  id_ex_mult <= 0;
1522
                  id_ex_div <= 0;
1523
                  id_ex_load <= 0;
1524
                  id_ex_store <= 0;
1525
                  id_ex_size <= 0;
1526
                  id_ex_store_value <= 0;
1527
                  id_ex_destreg <= if_id_rd;
1528
                  id_ex_desthi <= 0;
1529
                  id_ex_destlo <= 0;
1530
                end
1531
              `FUNCTION_AND:
1532
                begin
1533
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as AND r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1534
                  id_ex_alu_a <= GPR[if_id_rs];
1535
                  id_ex_alu_b <= GPR[if_id_rt];
1536
                  id_ex_alu_func <= `ALU_OP_AND;
1537
                  id_ex_alu_signed <= 0;
1538
                  id_ex_branch <= 0;
1539
                  id_ex_jump <= 0;
1540
                  id_ex_jr <= 0;
1541
                  id_ex_linked <= 0;
1542
                  id_ex_mult <= 0;
1543
                  id_ex_div <= 0;
1544
                  id_ex_load <= 0;
1545
                  id_ex_store <= 0;
1546
                  id_ex_size <= 0;
1547
                  id_ex_store_value <= 0;
1548
                  id_ex_destreg <= if_id_rd;
1549
                  id_ex_desthi <= 0;
1550
                  id_ex_destlo <= 0;
1551
                end
1552
              `FUNCTION_OR:
1553
                begin
1554
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as OR r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1555
                  id_ex_alu_a <= GPR[if_id_rs];
1556
                  id_ex_alu_b <= GPR[if_id_rt];
1557
                  id_ex_alu_func <= `ALU_OP_OR;
1558
                  id_ex_alu_signed <= 0;
1559
                  id_ex_branch <= 0;
1560
                  id_ex_jump <= 0;
1561
                  id_ex_jr <= 0;
1562
                  id_ex_linked <= 0;
1563
                  id_ex_mult <= 0;
1564
                  id_ex_div <= 0;
1565
                  id_ex_load <= 0;
1566
                  id_ex_store <= 0;
1567
                  id_ex_size <= 0;
1568
                  id_ex_store_value <= 0;
1569
                  id_ex_destreg <= if_id_rd;
1570
                  id_ex_desthi <= 0;
1571
                  id_ex_destlo <= 0;
1572
                end
1573
              `FUNCTION_XOR:
1574
                begin
1575
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as XOR r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1576
                  id_ex_alu_a <= GPR[if_id_rs];
1577
                  id_ex_alu_b <= GPR[if_id_rt];
1578
                  id_ex_alu_func <= `ALU_OP_XOR;
1579
                  id_ex_alu_signed <= 0;
1580
                  id_ex_branch <= 0;
1581
                  id_ex_jump <= 0;
1582
                  id_ex_jr <= 0;
1583
                  id_ex_linked <= 0;
1584
                  id_ex_mult <= 0;
1585
                  id_ex_div <= 0;
1586
                  id_ex_load <= 0;
1587
                  id_ex_store <= 0;
1588
                  id_ex_size <= 0;
1589
                  id_ex_store_value <= 0;
1590
                  id_ex_destreg <= if_id_rd;
1591
                  id_ex_desthi <= 0;
1592
                  id_ex_destlo <= 0;
1593
                end
1594
              `FUNCTION_NOR:
1595
                begin
1596
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as NOR r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1597
                  id_ex_alu_a <= GPR[if_id_rs];
1598
                  id_ex_alu_b <= GPR[if_id_rt];
1599
                  id_ex_alu_func <= `ALU_OP_NOR;
1600
                  id_ex_alu_signed <= 0;
1601
                  id_ex_branch <= 0;
1602
                  id_ex_jump <= 0;
1603
                  id_ex_jr <= 0;
1604
                  id_ex_linked <= 0;
1605
                  id_ex_mult <= 0;
1606
                  id_ex_div <= 0;
1607
                  id_ex_load <= 0;
1608
                  id_ex_store <= 0;
1609
                  id_ex_size <= 0;
1610
                  id_ex_store_value <= 0;
1611
                  id_ex_destreg <= if_id_rd;
1612
                  id_ex_desthi <= 0;
1613
                  id_ex_destlo <= 0;
1614
                end
1615
              `FUNCTION_SLT:
1616
                begin
1617
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SLT r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1618
                  id_ex_alu_a <= GPR[if_id_rs];
1619
                  id_ex_alu_b <= GPR[if_id_rt];
1620
                  id_ex_alu_func <= `ALU_OP_SLT;
1621
                  id_ex_alu_signed <= 1;
1622
                  id_ex_branch <= 0;
1623
                  id_ex_jump <= 0;
1624
                  id_ex_jr <= 0;
1625
                  id_ex_linked <= 0;
1626
                  id_ex_mult <= 0;
1627
                  id_ex_div <= 0;
1628
                  id_ex_load <= 0;
1629
                  id_ex_store <= 0;
1630
                  id_ex_size <= 0;
1631
                  id_ex_store_value <= 0;
1632
                  id_ex_destreg <= if_id_rd;
1633
                  id_ex_desthi <= 0;
1634
                  id_ex_destlo <= 0;
1635
                end
1636
              `FUNCTION_SLTU:
1637
                begin
1638
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as SLTU r%d, r%d, r%d", if_id_addr, if_id_opcode, if_id_rd, if_id_rs, if_id_rt);
1639
                  id_ex_alu_a <= GPR[if_id_rs];
1640
                  id_ex_alu_b <= GPR[if_id_rt];
1641
                  id_ex_alu_func <= `ALU_OP_SLT;
1642
                  id_ex_alu_signed <= 0;
1643
                  id_ex_branch <= 0;
1644
                  id_ex_jump <= 0;
1645
                  id_ex_jr <= 0;
1646
                  id_ex_linked <= 0;
1647
                  id_ex_mult <= 0;
1648
                  id_ex_div <= 0;
1649
                  id_ex_load <= 0;
1650
                  id_ex_store <= 0;
1651
                  id_ex_size <= 0;
1652
                  id_ex_store_value <= 0;
1653
                  id_ex_destreg <= if_id_rd;
1654
                  id_ex_desthi <= 0;
1655
                  id_ex_destlo <= 0;
1656
                end
1657
            endcase
1658
          `OPCODE_BCOND:
1659
            case(if_id_rt)
1660
              `BCOND_BLTZ:
1661
                begin
1662
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BLTZ r%d, %h", if_id_addr, if_id_opcode, if_id_rs, if_id_imm_signext);
1663
                  id_ex_alu_a <= GPR[if_id_rs];
1664
                  id_ex_alu_b <= 0;
1665
                  id_ex_alu_func <= `ALU_OP_SLT;
1666
                  id_ex_alu_signed <= 1;
1667
                  id_ex_branch <= 1;
1668
                  id_ex_jump <= 0;
1669
                  id_ex_jr <= 0;
1670
                  id_ex_linked <= 0;
1671
                  id_ex_mult <= 0;
1672
                  id_ex_div <= 0;
1673
                  id_ex_load <= 0;
1674
                  id_ex_store <= 0;
1675
                  id_ex_size <= 0;
1676
                  id_ex_store_value <= 0;
1677
                  id_ex_destreg <= if_id_rd;
1678
                  id_ex_desthi <= 0;
1679
                  id_ex_destlo <= 0;
1680
                end
1681
              `BCOND_BGEZ:
1682
                begin
1683
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BGEZ r%d, %h", if_id_addr, if_id_opcode, if_id_rs, if_id_imm_signext);
1684
                  id_ex_alu_a <= GPR[if_id_rs];
1685
                  id_ex_alu_b <= 0;
1686
                  id_ex_alu_func <= `ALU_OP_SGE;
1687
                  id_ex_alu_signed <= 1;
1688
                  id_ex_branch <= 1;
1689
                  id_ex_jump <= 0;
1690
                  id_ex_jr <= 0;
1691
                  id_ex_linked <= 0;
1692
                  id_ex_mult <= 0;
1693
                  id_ex_div <= 0;
1694
                  id_ex_load <= 0;
1695
                  id_ex_store <= 0;
1696
                  id_ex_size <= 0;
1697
                  id_ex_store_value <= 0;
1698
                  id_ex_destreg <= if_id_rd;
1699
                  id_ex_desthi <= 0;
1700
                  id_ex_destlo <= 0;
1701
                end
1702
              `BCOND_BLTZAL:
1703
                begin
1704
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BLTZAL r%d, %h", if_id_addr, if_id_opcode, if_id_rs, if_id_imm_signext);
1705
                  id_ex_alu_a <= GPR[if_id_rs];
1706
                  id_ex_alu_b <= 0;
1707
                  id_ex_alu_func <= `ALU_OP_SLT;
1708
                  id_ex_alu_signed <= 1;
1709
                  id_ex_branch <= 1;
1710
                  id_ex_jump <= 0;
1711
                  id_ex_jr <= 0;
1712
                  id_ex_linked <= 1;
1713
                  id_ex_mult <= 0;
1714
                  id_ex_div <= 0;
1715
                  id_ex_load <= 0;
1716
                  id_ex_store <= 0;
1717
                  id_ex_size <= 0;
1718
                  id_ex_store_value <= 0;
1719
                  id_ex_destreg <= 31;
1720
                  id_ex_desthi <= 0;
1721
                  id_ex_destlo <= 0;
1722
                end
1723
              `BCOND_BGEZAL:
1724
                begin
1725
                  $display("INFO: CPU(%m)-ID: Decoded instruction @ADDR=%X w/OPCODE=%X as BGEZAL r%d, %h", if_id_addr, if_id_opcode, if_id_rs, if_id_imm_signext);
1726
                  id_ex_alu_a <= GPR[if_id_rs];
1727
                  id_ex_alu_b <= 0;
1728
                  id_ex_alu_func <=`ALU_OP_SGE;
1729
                  id_ex_alu_signed <= 1;
1730
                  id_ex_branch <= 1;
1731
                  id_ex_jump <= 0;
1732
                  id_ex_jr <= 0;
1733
                  id_ex_linked <= 1;
1734
                  id_ex_mult <= 0;
1735
                  id_ex_div <= 0;
1736
                  id_ex_load <= 0;
1737
                  id_ex_store <= 0;
1738
                  id_ex_size <= 0;
1739
                  id_ex_store_value <= 0;
1740
                  id_ex_destreg <= 31;
1741
                  id_ex_desthi <= 0;
1742
                  id_ex_destlo <= 0;
1743
                end
1744
            endcase
1745
 
1746
        endcase
1747
 
1748
      end
1749
 
1750
      /*
1751
       * Pipeline Stage 3: Execute (EX)
1752
       *
1753
       * READ/WRITE:
1754
       * - read the ID/EX latch
1755
       * - write the EX/MEM latch
1756
       *
1757
       * DESCRIPTION:
1758
       * This stage takes the result from the ALU and put it in the proper latch.
1759
       * Please note that assignments to ALU inputs are done outside since they're wires.
1760
       */
1761
 
1762
      if(ex_stall) begin
1763
 
1764
        if(mem_stall) begin
1765 61 fafa1971
          $display("INFO: CPU(%m)-EX: Execution stalled and latch kept");
1766 33 fafa1971
        end else begin
1767 61 fafa1971
          $display("INFO: CPU(%m)-EX: Execution stalled and bubble inserted");
1768 33 fafa1971
          ex_mem_opcode <= `BUBBLE;
1769
          ex_mem_addr <= id_ex_addr;
1770
          ex_mem_addrnext <= 0;
1771
          ex_mem_destreg <= 0;
1772
          ex_mem_desthi <= 0;
1773
          ex_mem_destlo <= 0;
1774
        end
1775
 
1776
      end else begin
1777
 
1778
        // If not stalled propagate values to next latches
1779
        ex_mem_opcode      <= id_ex_opcode;
1780
        ex_mem_addr        <= id_ex_addr;
1781
        ex_mem_addrnext    <= id_ex_addrnext;
1782
        ex_mem_addrjump    <= id_ex_addrjump;
1783
        ex_mem_addrbranch  <= id_ex_addrbranch;
1784
        ex_mem_branch      <= id_ex_branch;
1785
        ex_mem_jump        <= id_ex_jump;
1786
        ex_mem_jr          <= id_ex_jr;
1787
        ex_mem_linked      <= id_ex_linked;
1788
        ex_mem_mult        <= id_ex_mult;
1789
        ex_mem_div         <= id_ex_div;
1790
        ex_mem_load        <= id_ex_load;
1791
        ex_mem_store       <= id_ex_store;
1792 50 fafa1971
        ex_mem_size        <= id_ex_size;
1793 51 fafa1971
        ex_mem_destold     <= id_ex_store_value;
1794 33 fafa1971
        ex_mem_destreg     <= id_ex_destreg;
1795
        ex_mem_desthi      <= id_ex_desthi;
1796
        ex_mem_destlo      <= id_ex_destlo;
1797
 
1798
        // Choose the output from ALU, Multiplier or Divider
1799 49 fafa1971
        if(id_ex_mult) begin
1800
          ex_mem_aluout <= mul_product_i;
1801 50 fafa1971
          ex_mem_carry <= 1'b0;
1802 49 fafa1971
        end else if(id_ex_div) begin
1803 50 fafa1971
          ex_mem_aluout <= { div_remainder_i, div_quotient_i };
1804
          ex_mem_carry <= 1'b0;
1805 49 fafa1971
        end else begin
1806 48 fafa1971
          ex_mem_aluout <= {32'b0, alu_result_i[31:0]};
1807
          ex_mem_carry <= alu_result_i[32];
1808
        end
1809 33 fafa1971
 
1810 50 fafa1971
        // Handle all supported store sizes
1811 33 fafa1971
        if(id_ex_store) begin
1812
          $display("INFO: CPU(%m)-EX: Execution of Store instruction @ADDR=%X w/OPCODE=%X started to STORE_ADDR=%X w/STORE_DATA=%X", id_ex_addr, id_ex_opcode, alu_result_i, id_ex_store_value);
1813
          case(id_ex_size)
1814
            `SIZE_WORD: begin
1815
              ex_mem_store_value <= id_ex_store_value;
1816
              ex_mem_store_sel <= 4'b1111;
1817
            end
1818
            `SIZE_HALF: begin
1819
              if(alu_result_i[1]==0) begin
1820 50 fafa1971
                ex_mem_store_value <= {{16'b0}, id_ex_store_value[15:0]};
1821 33 fafa1971
                ex_mem_store_sel <= 4'b0011;
1822
              end else begin
1823 50 fafa1971
                ex_mem_store_value <= {id_ex_store_value[15:0], {16'b0}};
1824 33 fafa1971
                ex_mem_store_sel <= 4'b1100;
1825
              end
1826
            end
1827
            `SIZE_BYTE: begin
1828
              case(alu_result_i[1:0])
1829
                2'b00: begin
1830 50 fafa1971
                  ex_mem_store_value <= {{24'b0}, id_ex_store_value[7:0]};
1831 33 fafa1971
                  ex_mem_store_sel <= 4'b0001;
1832
                end
1833
                2'b01: begin
1834 50 fafa1971
                  ex_mem_store_value <= {{16'b0}, id_ex_store_value[7:0],{8'b0}};
1835 33 fafa1971
                  ex_mem_store_sel <= 4'b0010;
1836
                end
1837
                2'b10: begin
1838 50 fafa1971
                  ex_mem_store_value <= {{8'b0}, id_ex_store_value[7:0],{16'b0}};
1839 33 fafa1971
                  ex_mem_store_sel <= 4'b0100;
1840
                end
1841
                2'b11: begin
1842 50 fafa1971
                  ex_mem_store_value <= {id_ex_store_value[7:0], {24'b0}};
1843 33 fafa1971
                  ex_mem_store_sel <= 4'b1000;
1844
                end
1845
              endcase
1846
            end
1847 51 fafa1971
            `SIZE_LEFT: begin
1848
              case(alu_result_i[1:0])
1849
                2'b00: begin
1850
                  ex_mem_store_value <= {24'b0, id_ex_store_value[31:24]};
1851
                  ex_mem_store_sel <= 4'b0001;
1852
                end
1853
                2'b01: begin
1854
                  ex_mem_store_value <= {16'b0, id_ex_store_value[31:16]};
1855
                  ex_mem_store_sel <= 4'b0011;
1856
                end
1857
                2'b10: begin
1858
                  ex_mem_store_value <= {8'b0, id_ex_store_value[31:8]};
1859
                  ex_mem_store_sel <= 4'b0111;
1860
                end
1861
                2'b11: begin
1862
                  ex_mem_store_value <= id_ex_store_value;
1863
                  ex_mem_store_sel <= 4'b1111;
1864
                end
1865
              endcase
1866
            end
1867
            `SIZE_RIGHT: begin
1868
              case(alu_result_i[1:0])
1869
                2'b00: begin
1870
                  ex_mem_store_value <= id_ex_store_value;
1871
                  ex_mem_store_sel <= 4'b1111;
1872
                end
1873
                2'b01: begin
1874
                  ex_mem_store_value <= {id_ex_store_value[23:0], 8'b0};
1875
                  ex_mem_store_sel <= 4'b1110;
1876
                end
1877
                2'b10: begin
1878
                  ex_mem_store_value <= {id_ex_store_value[15:0], 16'b0};
1879
                  ex_mem_store_sel <= 4'b1100;
1880
                end
1881
                2'b11: begin
1882
                  ex_mem_store_value <= {id_ex_store_value[7:0], 24'b0};
1883
                  ex_mem_store_sel <= 4'b1000;
1884
                end
1885
              endcase
1886
            end
1887 33 fafa1971
          endcase
1888
 
1889 50 fafa1971
        // Not a store
1890 33 fafa1971
        end else begin
1891
          $display("INFO: CPU(%m)-EX: Execution of instruction @ADDR=%X w/OPCODE=%X gave ALU result %X", id_ex_addr, id_ex_opcode, alu_result_i);
1892
        end
1893
 
1894
      end
1895
 
1896
      /*
1897
       * Pipeline Stage 4: Memory access (MEM)
1898
       *
1899
       * READ/WRITE:
1900
       * - read the EX/MEM latch
1901
       * - read or write memory
1902
       * - write the MEM/WB latch
1903
       *
1904
       * DESCRIPTION:
1905
       * This stage perform accesses to memory to read/write the data during
1906
       * the load/store operations.
1907
       */
1908
 
1909
      if(mem_stall) begin
1910
 
1911
        $display("INFO: CPU(%m)-MEM: Memory stalled");
1912
 
1913
      end else begin
1914
 
1915
        mem_wb_opcode     <= ex_mem_opcode;
1916
        mem_wb_addr       <= ex_mem_addr;
1917
        mem_wb_addrnext   <= ex_mem_addrnext;
1918
        mem_wb_destreg    <= ex_mem_destreg;
1919
        mem_wb_desthi     <= ex_mem_desthi;
1920
        mem_wb_destlo     <= ex_mem_destlo;
1921
 
1922 50 fafa1971
        // Handle all supported load sizes
1923 33 fafa1971
        if(ex_mem_load) begin
1924
 
1925
          $display("INFO: CPU(%m)-MEM: Loading value %X", dmem_data_i);
1926
          mem_wb_value[63:32] <= 32'b0;
1927 50 fafa1971
          case(ex_mem_size)
1928
            `SIZE_WORD: begin
1929
              mem_wb_value[31:0] <= dmem_data_i;
1930
            end
1931
            `SIZE_HALF: begin
1932
              if(ex_mem_aluout[1]==0) mem_wb_value[31:0] <= {{16{dmem_data_i[15]}}, dmem_data_i[15:0]};
1933
              else mem_wb_value[31:0] <= {{16{dmem_data_i[31]}}, dmem_data_i[31:16]};
1934
            end
1935
            `SIZE_BYTE: begin
1936
              case(ex_mem_aluout[1:0])
1937
                2'b00: mem_wb_value[31:0] <= {{24{dmem_data_i[7]}},  dmem_data_i[7:0]};
1938
                2'b01: mem_wb_value[31:0] <= {{24{dmem_data_i[15]}}, dmem_data_i[15:8]};
1939
                2'b10: mem_wb_value[31:0] <= {{24{dmem_data_i[23]}}, dmem_data_i[23:16]};
1940
                2'b11: mem_wb_value[31:0] <= {{24{dmem_data_i[31]}}, dmem_data_i[31:24]};
1941
              endcase
1942
            end
1943 51 fafa1971
            `SIZE_LEFT: begin
1944
              case(ex_mem_aluout[1:0])
1945
                2'b00: mem_wb_value[31:0] <= {dmem_data_i[7:0],  ex_mem_destold[23:0]};
1946
                2'b01: mem_wb_value[31:0] <= {dmem_data_i[15:0], ex_mem_destold[15:0]};
1947
                2'b10: mem_wb_value[31:0] <= {dmem_data_i[23:0], ex_mem_destold[7:0]};
1948
                2'b11: mem_wb_value[31:0] <= dmem_data_i;
1949
              endcase
1950
            end
1951
            `SIZE_RIGHT: begin
1952
              case(ex_mem_aluout[1:0])
1953
                2'b00: mem_wb_value[31:0] <= dmem_data_i;
1954
                2'b01: mem_wb_value[31:0] <= {ex_mem_destold[31:24], dmem_data_i[31:8]};
1955
                2'b10: mem_wb_value[31:0] <= {ex_mem_destold[31:16], dmem_data_i[31:16]};
1956
                2'b11: mem_wb_value[31:0] <= {ex_mem_destold[31:8],  dmem_data_i[31:24]};
1957
              endcase
1958
            end
1959 50 fafa1971
          endcase
1960 33 fafa1971
 
1961 50 fafa1971
        // For multiplications and divisions the result is 64-bit wide
1962 33 fafa1971
        end else if (ex_mem_desthi && ex_mem_destlo) begin
1963
 
1964
          $display("INFO: CPU(%m)-MEM: Propagating value %X", ex_mem_aluout);
1965 50 fafa1971
          mem_wb_value[63:32] <= ex_mem_aluout[63:32];
1966 33 fafa1971
          mem_wb_value[31:0] <= ex_mem_aluout[31:0];
1967
 
1968 50 fafa1971
        // For MTHI instruction we must move the value to the correct side of the bus
1969 33 fafa1971
        end else if (ex_mem_desthi) begin
1970
 
1971
          $display("INFO: CPU(%m)-MEM: Propagating value %X", ex_mem_aluout);
1972
          mem_wb_value[63:32] <= ex_mem_aluout[31:0];
1973
          mem_wb_value[31:0] <= 32'b0;
1974
 
1975 50 fafa1971
        // The default is working with 32-bit values
1976 33 fafa1971
        end else begin
1977
 
1978
          $display("INFO: CPU(%m)-MEM: Propagating value %X", ex_mem_aluout);
1979 50 fafa1971
          mem_wb_value[63:32] <= 32'b0;
1980 33 fafa1971
          mem_wb_value[31:0] <= ex_mem_aluout[31:0];
1981
 
1982
        end
1983
 
1984
      end
1985
 
1986
      /*
1987
       * Pipeline Stage 5: Write Back (WB)
1988
       *
1989
       * READ/WRITE:
1990
       * - read the MEM/WB latch
1991
       * - write the register file
1992
       *
1993
       * DESCRIPTION:
1994
       * This stage writes back the result into the proper register (GPR, HI, LO).
1995
       */
1996
 
1997
      if(wb_stall) begin
1998
 
1999
        $display("INFO: CPU(%m)-WB: Write-Back stalled");
2000
 
2001
      end else begin
2002
 
2003
        // GPRs
2004
        if(mem_wb_destreg!=0) begin
2005
          $display("INFO: CPU(%m)-WB: Writing Back GPR[%d]=%X", mem_wb_destreg, mem_wb_value[31:0]);
2006
          GPR[mem_wb_destreg] <= mem_wb_value[31:0];
2007
        end
2008
 
2009
        // HI
2010
        if(mem_wb_desthi) begin
2011
          $display("INFO: CPU(%m)-WB: Writing Back HI=%X", mem_wb_value[63:32]);
2012
          HI <= mem_wb_value[63:32];
2013
        end
2014
 
2015
        // LO
2016
        if(mem_wb_destlo) begin
2017
          $display("INFO: CPU(%m)-WB: Writing Back LO=%X", mem_wb_value[31:0]);
2018
          LO <= mem_wb_value[31:0];
2019
        end
2020
 
2021 46 fafa1971
        // SysCon
2022
        if(mem_wb_destsyscon!=0) begin
2023
          $display("INFO: CPU(%m)-WB: Writing Back SysCon[%d]=%X", mem_wb_destsyscon, mem_wb_value[31:0]);
2024
          SysCon[mem_wb_destsyscon] <= mem_wb_value[31:0];
2025
        end
2026
 
2027 33 fafa1971
        // Idle
2028
        if(mem_wb_destreg==0 & mem_wb_desthi==0 & mem_wb_destlo==0)
2029
          $display("INFO: CPU(%m)-WB: Write-Back has nothing to do");
2030
 
2031
      end
2032
 
2033
      // Display register file at each raising edge
2034
      $display("INFO: CPU(%m)-Regs: R00=%X R01=%X R02=%X R03=%X R04=%X R05=%X R06=%X R07=%X",
2035
        GPR[0], GPR[1], GPR[2], GPR[3], GPR[4], GPR[5], GPR[6], GPR[7]);
2036
      $display("INFO: CPU(%m)-Regs: R08=%X R09=%X R10=%X R11=%X R12=%X R13=%X R14=%X R15=%X",
2037
        GPR[8], GPR[9], GPR[10], GPR[11], GPR[12], GPR[13], GPR[14], GPR[15]);
2038
      $display("INFO: CPU(%m)-Regs: R16=%X R17=%X R18=%X R19=%X R20=%X R21=%X R22=%X R23=%X",
2039
        GPR[16], GPR[17], GPR[18], GPR[19], GPR[20], GPR[21], GPR[22], GPR[23]);
2040
      $display("INFO: CPU(%m)-Regs: R24=%X R25=%X R26=%X R27=%X R28=%X R29=%X R30=%X R31=%X",
2041
        GPR[24], GPR[25], GPR[26], GPR[27], GPR[28], GPR[29], GPR[30], GPR[31]);
2042
      $display("INFO: CPU(%m)-Regs: PC=%X HI=%X LO=%X",
2043
        PC, HI, LO);
2044
 
2045
    end
2046
  end
2047
 
2048
endmodule
2049
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.