OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [rtl/] [wb_text_vga/] [fontmap_rom.v] - Blame information for rev 64

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 fafa1971
/*
2
 * Fontmap ROM
3
 *
4
 * This is the ROM containing the map for a monospaced 8x8 font.
5
 * The address input is the concatenation of the required ASCII code [10:3] and the
6
 * number of the line [2:0] contained into the char (starting from the top).
7
 * It should use only one 2KByte Block RAM on a Xilinx FPGA device.
8
 * The font design is (C) 2005 by Brian Cassidy and released under the Perl license.
9 64 albert.wat
 * All the rest is (C) 2008 by Fabrizio Fazzino and released under the GPL license.
10 34 fafa1971
 */
11
 
12
// synthesis attribute rom_style of fontmap_rom is block;
13
module fontmap_rom (
14
    input sys_clock_i,
15
    input read_i,
16
    input[10:0] address_i,
17
    output reg[7:0] data_o
18
  );
19
 
20
  always @(posedge sys_clock_i) begin
21
    if(read_i) begin
22
      case(address_i)
23
 
24
        // ASCII 0
25
 
26
        1 : data_o <= 8'h00;
27
        2 : data_o <= 8'h00;
28
        3 : data_o <= 8'h00;
29
        4 : data_o <= 8'h00;
30
        5 : data_o <= 8'h00;
31
        6 : data_o <= 8'h00;
32
        7 : data_o <= 8'h00;
33
 
34
        // ASCII 1
35
        8 : data_o <= 8'h7e;
36
        9 : data_o <= 8'h81;
37
        10 : data_o <= 8'ha5;
38
        11 : data_o <= 8'h81;
39
        12 : data_o <= 8'hbd;
40
        13 : data_o <= 8'h99;
41
        14 : data_o <= 8'h81;
42
        15 : data_o <= 8'h7e;
43
 
44
        // ASCII 2
45
        16 : data_o <= 8'h7e;
46
        17 : data_o <= 8'hff;
47
        18 : data_o <= 8'hdb;
48
        19 : data_o <= 8'hff;
49
        20 : data_o <= 8'hc3;
50
        21 : data_o <= 8'he7;
51
        22 : data_o <= 8'hff;
52
        23 : data_o <= 8'h7e;
53
 
54
        // ASCII 3
55
        24 : data_o <= 8'h6c;
56
        25 : data_o <= 8'hfe;
57
        26 : data_o <= 8'hfe;
58
        27 : data_o <= 8'hfe;
59
        28 : data_o <= 8'h7c;
60
        29 : data_o <= 8'h38;
61
        30 : data_o <= 8'h10;
62
        31 : data_o <= 8'h00;
63
 
64
        // ASCII 4
65
        32 : data_o <= 8'h10;
66
        33 : data_o <= 8'h38;
67
        34 : data_o <= 8'h7c;
68
        35 : data_o <= 8'hfe;
69
        36 : data_o <= 8'h7c;
70
        37 : data_o <= 8'h38;
71
        38 : data_o <= 8'h10;
72
        39 : data_o <= 8'h00;
73
 
74
        // ASCII 5
75
        40 : data_o <= 8'h38;
76
        41 : data_o <= 8'h7c;
77
        42 : data_o <= 8'h38;
78
        43 : data_o <= 8'hfe;
79
        44 : data_o <= 8'hfe;
80
        45 : data_o <= 8'hd6;
81
        46 : data_o <= 8'h10;
82
        47 : data_o <= 8'h38;
83
 
84
        // ASCII 6
85
        48 : data_o <= 8'h10;
86
        49 : data_o <= 8'h38;
87
        50 : data_o <= 8'h7c;
88
        51 : data_o <= 8'hfe;
89
        52 : data_o <= 8'hfe;
90
        53 : data_o <= 8'h7c;
91
        54 : data_o <= 8'h10;
92
        55 : data_o <= 8'h38;
93
 
94
        // ASCII 7
95
        56 : data_o <= 8'h00;
96
        57 : data_o <= 8'h00;
97
        58 : data_o <= 8'h18;
98
        59 : data_o <= 8'h3c;
99
        60 : data_o <= 8'h3c;
100
        61 : data_o <= 8'h18;
101
        62 : data_o <= 8'h00;
102
        63 : data_o <= 8'h00;
103
 
104
        // ASCII 8
105
        64 : data_o <= 8'hff;
106
        65 : data_o <= 8'hff;
107
        66 : data_o <= 8'he7;
108
        67 : data_o <= 8'hc3;
109
        68 : data_o <= 8'hc3;
110
        69 : data_o <= 8'he7;
111
        70 : data_o <= 8'hff;
112
        71 : data_o <= 8'hff;
113
 
114
        // ASCII 9
115
        72 : data_o <= 8'h00;
116
        73 : data_o <= 8'h3c;
117
        74 : data_o <= 8'h66;
118
        75 : data_o <= 8'h42;
119
        76 : data_o <= 8'h42;
120
        77 : data_o <= 8'h66;
121
        78 : data_o <= 8'h3c;
122
        79 : data_o <= 8'h00;
123
 
124
        // ASCII 10
125
/*
126
        80 : data_o <= 8'hff;
127
        81 : data_o <= 8'hc3;
128
        82 : data_o <= 8'h99;
129
        83 : data_o <= 8'hbd;
130
        84 : data_o <= 8'hbd;
131
        85 : data_o <= 8'h99;
132
        86 : data_o <= 8'hc3;
133
        87 : data_o <= 8'hff;
134
*/
135
        80 : data_o <= 8'h00;
136
        81 : data_o <= 8'h00;
137
        82 : data_o <= 8'h00;
138
        83 : data_o <= 8'h00;
139
        84 : data_o <= 8'h00;
140
        85 : data_o <= 8'h00;
141
        86 : data_o <= 8'h00;
142
        87 : data_o <= 8'h00;
143
 
144
        // ASCII 11
145
        88 : data_o <= 8'h0f;
146
        89 : data_o <= 8'h07;
147
        90 : data_o <= 8'h0f;
148
        91 : data_o <= 8'h7d;
149
        92 : data_o <= 8'hcc;
150
        93 : data_o <= 8'hcc;
151
        94 : data_o <= 8'hcc;
152
        95 : data_o <= 8'h78;
153
 
154
        // ASCII 12
155
        96 : data_o <= 8'h3c;
156
        97 : data_o <= 8'h66;
157
        98 : data_o <= 8'h66;
158
        99 : data_o <= 8'h66;
159
        100 : data_o <= 8'h3c;
160
        101 : data_o <= 8'h18;
161
        102 : data_o <= 8'h7e;
162
        103 : data_o <= 8'h18;
163
 
164
        // ASCII 13
165
        104 : data_o <= 8'h3f;
166
        105 : data_o <= 8'h33;
167
        106 : data_o <= 8'h3f;
168
        107 : data_o <= 8'h30;
169
        108 : data_o <= 8'h30;
170
        109 : data_o <= 8'h70;
171
        110 : data_o <= 8'hf0;
172
        111 : data_o <= 8'he0;
173
 
174
        // ASCII 14
175
        112 : data_o <= 8'h7f;
176
        113 : data_o <= 8'h63;
177
        114 : data_o <= 8'h7f;
178
        115 : data_o <= 8'h63;
179
        116 : data_o <= 8'h63;
180
        117 : data_o <= 8'h67;
181
        118 : data_o <= 8'he6;
182
        119 : data_o <= 8'hc0;
183
 
184
        // ASCII 15
185
        120 : data_o <= 8'h18;
186
        121 : data_o <= 8'hdb;
187
        122 : data_o <= 8'h3c;
188
        123 : data_o <= 8'he7;
189
        124 : data_o <= 8'he7;
190
        125 : data_o <= 8'h3c;
191
        126 : data_o <= 8'hdb;
192
        127 : data_o <= 8'h18;
193
 
194
        // ASCII 16
195
        128 : data_o <= 8'h80;
196
        129 : data_o <= 8'he0;
197
        130 : data_o <= 8'hf8;
198
        131 : data_o <= 8'hfe;
199
        132 : data_o <= 8'hf8;
200
        133 : data_o <= 8'he0;
201
        134 : data_o <= 8'h80;
202
        135 : data_o <= 8'h00;
203
 
204
        // ASCII 17
205
        136 : data_o <= 8'h02;
206
        137 : data_o <= 8'h0e;
207
        138 : data_o <= 8'h3e;
208
        139 : data_o <= 8'hfe;
209
        140 : data_o <= 8'h3e;
210
        141 : data_o <= 8'h0e;
211
        142 : data_o <= 8'h02;
212
        143 : data_o <= 8'h00;
213
 
214
        // ASCII 18
215
        144 : data_o <= 8'h18;
216
        145 : data_o <= 8'h3c;
217
        146 : data_o <= 8'h7e;
218
        147 : data_o <= 8'h18;
219
        148 : data_o <= 8'h18;
220
        149 : data_o <= 8'h7e;
221
        150 : data_o <= 8'h3c;
222
        151 : data_o <= 8'h18;
223
 
224
        // ASCII 19
225
        152 : data_o <= 8'h66;
226
        153 : data_o <= 8'h66;
227
        154 : data_o <= 8'h66;
228
        155 : data_o <= 8'h66;
229
        156 : data_o <= 8'h66;
230
        157 : data_o <= 8'h00;
231
        158 : data_o <= 8'h66;
232
        159 : data_o <= 8'h00;
233
 
234
        // ASCII 20
235
        160 : data_o <= 8'h7f;
236
        161 : data_o <= 8'hdb;
237
        162 : data_o <= 8'hdb;
238
        163 : data_o <= 8'h7b;
239
        164 : data_o <= 8'h1b;
240
        165 : data_o <= 8'h1b;
241
        166 : data_o <= 8'h1b;
242
        167 : data_o <= 8'h00;
243
 
244
        // ASCII 21
245
        168 : data_o <= 8'h3e;
246
        169 : data_o <= 8'h61;
247
        170 : data_o <= 8'h3c;
248
        171 : data_o <= 8'h66;
249
        172 : data_o <= 8'h66;
250
        173 : data_o <= 8'h3c;
251
        174 : data_o <= 8'h86;
252
        175 : data_o <= 8'h7c;
253
 
254
        // ASCII 22
255
        176 : data_o <= 8'h00;
256
        177 : data_o <= 8'h00;
257
        178 : data_o <= 8'h00;
258
        179 : data_o <= 8'h00;
259
        180 : data_o <= 8'h7e;
260
        181 : data_o <= 8'h7e;
261
        182 : data_o <= 8'h7e;
262
        183 : data_o <= 8'h00;
263
 
264
        // ASCII 23
265
        184 : data_o <= 8'h18;
266
        185 : data_o <= 8'h3c;
267
        186 : data_o <= 8'h7e;
268
        187 : data_o <= 8'h18;
269
        188 : data_o <= 8'h7e;
270
        189 : data_o <= 8'h3c;
271
        190 : data_o <= 8'h18;
272
        191 : data_o <= 8'hff;
273
 
274
        // ASCII 24
275
        192 : data_o <= 8'h18;
276
        193 : data_o <= 8'h3c;
277
        194 : data_o <= 8'h7e;
278
        195 : data_o <= 8'h18;
279
        196 : data_o <= 8'h18;
280
        197 : data_o <= 8'h18;
281
        198 : data_o <= 8'h18;
282
        199 : data_o <= 8'h00;
283
 
284
        // ASCII 25
285
        200 : data_o <= 8'h18;
286
        201 : data_o <= 8'h18;
287
        202 : data_o <= 8'h18;
288
        203 : data_o <= 8'h18;
289
        204 : data_o <= 8'h7e;
290
        205 : data_o <= 8'h3c;
291
        206 : data_o <= 8'h18;
292
        207 : data_o <= 8'h00;
293
 
294
        // ASCII 26
295
        208 : data_o <= 8'h00;
296
        209 : data_o <= 8'h18;
297
        210 : data_o <= 8'h0c;
298
        211 : data_o <= 8'hfe;
299
        212 : data_o <= 8'h0c;
300
        213 : data_o <= 8'h18;
301
        214 : data_o <= 8'h00;
302
        215 : data_o <= 8'h00;
303
 
304
        // ASCII 27
305
        216 : data_o <= 8'h00;
306
        217 : data_o <= 8'h30;
307
        218 : data_o <= 8'h60;
308
        219 : data_o <= 8'hfe;
309
        220 : data_o <= 8'h60;
310
        221 : data_o <= 8'h30;
311
        222 : data_o <= 8'h00;
312
        223 : data_o <= 8'h00;
313
 
314
        // ASCII 28
315
        224 : data_o <= 8'h00;
316
        225 : data_o <= 8'h00;
317
        226 : data_o <= 8'hc0;
318
        227 : data_o <= 8'hc0;
319
        228 : data_o <= 8'hc0;
320
        229 : data_o <= 8'hfe;
321
        230 : data_o <= 8'h00;
322
        231 : data_o <= 8'h00;
323
 
324
        // ASCII 29
325
        232 : data_o <= 8'h00;
326
        233 : data_o <= 8'h24;
327
        234 : data_o <= 8'h66;
328
        235 : data_o <= 8'hff;
329
        236 : data_o <= 8'h66;
330
        237 : data_o <= 8'h24;
331
        238 : data_o <= 8'h00;
332
        239 : data_o <= 8'h00;
333
 
334
        // ASCII 30
335
        240 : data_o <= 8'h00;
336
        241 : data_o <= 8'h18;
337
        242 : data_o <= 8'h3c;
338
        243 : data_o <= 8'h7e;
339
        244 : data_o <= 8'hff;
340
        245 : data_o <= 8'hff;
341
        246 : data_o <= 8'h00;
342
        247 : data_o <= 8'h00;
343
 
344
        // ASCII 31
345
        248 : data_o <= 8'h00;
346
        249 : data_o <= 8'hff;
347
        250 : data_o <= 8'hff;
348
        251 : data_o <= 8'h7e;
349
        252 : data_o <= 8'h3c;
350
        253 : data_o <= 8'h18;
351
        254 : data_o <= 8'h00;
352
        255 : data_o <= 8'h00;
353
 
354
        // ASCII 32
355
        256 : data_o <= 8'h00;
356
        257 : data_o <= 8'h00;
357
        258 : data_o <= 8'h00;
358
        259 : data_o <= 8'h00;
359
        260 : data_o <= 8'h00;
360
        261 : data_o <= 8'h00;
361
        262 : data_o <= 8'h00;
362
        263 : data_o <= 8'h00;
363
 
364
        // ASCII 33
365
        264 : data_o <= 8'h18;
366
        265 : data_o <= 8'h3c;
367
        266 : data_o <= 8'h3c;
368
        267 : data_o <= 8'h18;
369
        268 : data_o <= 8'h18;
370
        269 : data_o <= 8'h00;
371
        270 : data_o <= 8'h18;
372
        271 : data_o <= 8'h00;
373
 
374
        // ASCII 34
375
        272 : data_o <= 8'h66;
376
        273 : data_o <= 8'h66;
377
        274 : data_o <= 8'h24;
378
        275 : data_o <= 8'h00;
379
        276 : data_o <= 8'h00;
380
        277 : data_o <= 8'h00;
381
        278 : data_o <= 8'h00;
382
        279 : data_o <= 8'h00;
383
 
384
        // ASCII 35
385
        280 : data_o <= 8'h6c;
386
        281 : data_o <= 8'h6c;
387
        282 : data_o <= 8'hfe;
388
        283 : data_o <= 8'h6c;
389
        284 : data_o <= 8'hfe;
390
        285 : data_o <= 8'h6c;
391
        286 : data_o <= 8'h6c;
392
        287 : data_o <= 8'h00;
393
 
394
        // ASCII 36
395
        288 : data_o <= 8'h18;
396
        289 : data_o <= 8'h3e;
397
        290 : data_o <= 8'h60;
398
        291 : data_o <= 8'h3c;
399
        292 : data_o <= 8'h06;
400
        293 : data_o <= 8'h7c;
401
        294 : data_o <= 8'h18;
402
        295 : data_o <= 8'h00;
403
 
404
        // ASCII 37
405
        296 : data_o <= 8'h00;
406
        297 : data_o <= 8'hc6;
407
        298 : data_o <= 8'hcc;
408
        299 : data_o <= 8'h18;
409
        300 : data_o <= 8'h30;
410
        301 : data_o <= 8'h66;
411
        302 : data_o <= 8'hc6;
412
        303 : data_o <= 8'h00;
413
 
414
        // ASCII 38
415
        304 : data_o <= 8'h38;
416
        305 : data_o <= 8'h6c;
417
        306 : data_o <= 8'h38;
418
        307 : data_o <= 8'h76;
419
        308 : data_o <= 8'hdc;
420
        309 : data_o <= 8'hcc;
421
        310 : data_o <= 8'h76;
422
        311 : data_o <= 8'h00;
423
 
424
        // ASCII 39
425
        312 : data_o <= 8'h18;
426
        313 : data_o <= 8'h18;
427
        314 : data_o <= 8'h30;
428
        315 : data_o <= 8'h00;
429
        316 : data_o <= 8'h00;
430
        317 : data_o <= 8'h00;
431
        318 : data_o <= 8'h00;
432
        319 : data_o <= 8'h00;
433
 
434
        // ASCII 40
435
        320 : data_o <= 8'h0c;
436
        321 : data_o <= 8'h18;
437
        322 : data_o <= 8'h30;
438
        323 : data_o <= 8'h30;
439
        324 : data_o <= 8'h30;
440
        325 : data_o <= 8'h18;
441
        326 : data_o <= 8'h0c;
442
        327 : data_o <= 8'h00;
443
 
444
        // ASCII 41
445
        328 : data_o <= 8'h30;
446
        329 : data_o <= 8'h18;
447
        330 : data_o <= 8'h0c;
448
        331 : data_o <= 8'h0c;
449
        332 : data_o <= 8'h0c;
450
        333 : data_o <= 8'h18;
451
        334 : data_o <= 8'h30;
452
        335 : data_o <= 8'h00;
453
 
454
        // ASCII 42
455
        336 : data_o <= 8'h00;
456
        337 : data_o <= 8'h66;
457
        338 : data_o <= 8'h3c;
458
        339 : data_o <= 8'hff;
459
        340 : data_o <= 8'h3c;
460
        341 : data_o <= 8'h66;
461
        342 : data_o <= 8'h00;
462
        343 : data_o <= 8'h00;
463
 
464
        // ASCII 43
465
        344 : data_o <= 8'h00;
466
        345 : data_o <= 8'h18;
467
        346 : data_o <= 8'h18;
468
        347 : data_o <= 8'h7e;
469
        348 : data_o <= 8'h18;
470
        349 : data_o <= 8'h18;
471
        350 : data_o <= 8'h00;
472
        351 : data_o <= 8'h00;
473
 
474
        // ASCII 44
475
        352 : data_o <= 8'h00;
476
        353 : data_o <= 8'h00;
477
        354 : data_o <= 8'h00;
478
        355 : data_o <= 8'h00;
479
        356 : data_o <= 8'h00;
480
        357 : data_o <= 8'h18;
481
        358 : data_o <= 8'h18;
482
        359 : data_o <= 8'h30;
483
 
484
        // ASCII 45
485
        360 : data_o <= 8'h00;
486
        361 : data_o <= 8'h00;
487
        362 : data_o <= 8'h00;
488
        363 : data_o <= 8'h7e;
489
        364 : data_o <= 8'h00;
490
        365 : data_o <= 8'h00;
491
        366 : data_o <= 8'h00;
492
        367 : data_o <= 8'h00;
493
 
494
        // ASCII 46
495
        368 : data_o <= 8'h00;
496
        369 : data_o <= 8'h00;
497
        370 : data_o <= 8'h00;
498
        371 : data_o <= 8'h00;
499
        372 : data_o <= 8'h00;
500
        373 : data_o <= 8'h18;
501
        374 : data_o <= 8'h18;
502
        375 : data_o <= 8'h00;
503
 
504
        // ASCII 47
505
        376 : data_o <= 8'h06;
506
        377 : data_o <= 8'h0c;
507
        378 : data_o <= 8'h18;
508
        379 : data_o <= 8'h30;
509
        380 : data_o <= 8'h60;
510
        381 : data_o <= 8'hc0;
511
        382 : data_o <= 8'h80;
512
        383 : data_o <= 8'h00;
513
 
514
        // ASCII 48
515
        384 : data_o <= 8'h38;
516
        385 : data_o <= 8'h6c;
517
        386 : data_o <= 8'hc6;
518
        387 : data_o <= 8'hd6;
519
        388 : data_o <= 8'hc6;
520
        389 : data_o <= 8'h6c;
521
        390 : data_o <= 8'h38;
522
        391 : data_o <= 8'h00;
523
 
524
        // ASCII 49
525
        392 : data_o <= 8'h18;
526
        393 : data_o <= 8'h38;
527
        394 : data_o <= 8'h18;
528
        395 : data_o <= 8'h18;
529
        396 : data_o <= 8'h18;
530
        397 : data_o <= 8'h18;
531
        398 : data_o <= 8'h7e;
532
        399 : data_o <= 8'h00;
533
 
534
        // ASCII 50
535
        400 : data_o <= 8'h7c;
536
        401 : data_o <= 8'hc6;
537
        402 : data_o <= 8'h06;
538
        403 : data_o <= 8'h1c;
539
        404 : data_o <= 8'h30;
540
        405 : data_o <= 8'h66;
541
        406 : data_o <= 8'hfe;
542
        407 : data_o <= 8'h00;
543
 
544
        // ASCII 51
545
        408 : data_o <= 8'h7c;
546
        409 : data_o <= 8'hc6;
547
        410 : data_o <= 8'h06;
548
        411 : data_o <= 8'h3c;
549
        412 : data_o <= 8'h06;
550
        413 : data_o <= 8'hc6;
551
        414 : data_o <= 8'h7c;
552
        415 : data_o <= 8'h00;
553
 
554
        // ASCII 52
555
        416 : data_o <= 8'h1c;
556
        417 : data_o <= 8'h3c;
557
        418 : data_o <= 8'h6c;
558
        419 : data_o <= 8'hcc;
559
        420 : data_o <= 8'hfe;
560
        421 : data_o <= 8'h0c;
561
        422 : data_o <= 8'h1e;
562
        423 : data_o <= 8'h00;
563
 
564
        // ASCII 53
565
        424 : data_o <= 8'hfe;
566
        425 : data_o <= 8'hc0;
567
        426 : data_o <= 8'hc0;
568
        427 : data_o <= 8'hfc;
569
        428 : data_o <= 8'h06;
570
        429 : data_o <= 8'hc6;
571
        430 : data_o <= 8'h7c;
572
        431 : data_o <= 8'h00;
573
 
574
        // ASCII 54
575
        432 : data_o <= 8'h38;
576
        433 : data_o <= 8'h60;
577
        434 : data_o <= 8'hc0;
578
        435 : data_o <= 8'hfc;
579
        436 : data_o <= 8'hc6;
580
        437 : data_o <= 8'hc6;
581
        438 : data_o <= 8'h7c;
582
        439 : data_o <= 8'h00;
583
 
584
        // ASCII 55
585
        440 : data_o <= 8'hfe;
586
        441 : data_o <= 8'hc6;
587
        442 : data_o <= 8'h0c;
588
        443 : data_o <= 8'h18;
589
        444 : data_o <= 8'h30;
590
        445 : data_o <= 8'h30;
591
        446 : data_o <= 8'h30;
592
        447 : data_o <= 8'h00;
593
 
594
        // ASCII 56
595
        448 : data_o <= 8'h7c;
596
        449 : data_o <= 8'hc6;
597
        450 : data_o <= 8'hc6;
598
        451 : data_o <= 8'h7c;
599
        452 : data_o <= 8'hc6;
600
        453 : data_o <= 8'hc6;
601
        454 : data_o <= 8'h7c;
602
        455 : data_o <= 8'h00;
603
 
604
        // ASCII 57
605
        456 : data_o <= 8'h7c;
606
        457 : data_o <= 8'hc6;
607
        458 : data_o <= 8'hc6;
608
        459 : data_o <= 8'h7e;
609
        460 : data_o <= 8'h06;
610
        461 : data_o <= 8'h0c;
611
        462 : data_o <= 8'h78;
612
        463 : data_o <= 8'h00;
613
 
614
        // ASCII 58
615
        464 : data_o <= 8'h00;
616
        465 : data_o <= 8'h18;
617
        466 : data_o <= 8'h18;
618
        467 : data_o <= 8'h00;
619
        468 : data_o <= 8'h00;
620
        469 : data_o <= 8'h18;
621
        470 : data_o <= 8'h18;
622
        471 : data_o <= 8'h00;
623
 
624
        // ASCII 59
625
        472 : data_o <= 8'h00;
626
        473 : data_o <= 8'h18;
627
        474 : data_o <= 8'h18;
628
        475 : data_o <= 8'h00;
629
        476 : data_o <= 8'h00;
630
        477 : data_o <= 8'h18;
631
        478 : data_o <= 8'h18;
632
        479 : data_o <= 8'h30;
633
 
634
        // ASCII 60
635
        480 : data_o <= 8'h06;
636
        481 : data_o <= 8'h0c;
637
        482 : data_o <= 8'h18;
638
        483 : data_o <= 8'h30;
639
        484 : data_o <= 8'h18;
640
        485 : data_o <= 8'h0c;
641
        486 : data_o <= 8'h06;
642
        487 : data_o <= 8'h00;
643
 
644
        // ASCII 61
645
        488 : data_o <= 8'h00;
646
        489 : data_o <= 8'h00;
647
        490 : data_o <= 8'h7e;
648
        491 : data_o <= 8'h00;
649
        492 : data_o <= 8'h00;
650
        493 : data_o <= 8'h7e;
651
        494 : data_o <= 8'h00;
652
        495 : data_o <= 8'h00;
653
 
654
        // ASCII 62
655
        496 : data_o <= 8'h60;
656
        497 : data_o <= 8'h30;
657
        498 : data_o <= 8'h18;
658
        499 : data_o <= 8'h0c;
659
        500 : data_o <= 8'h18;
660
        501 : data_o <= 8'h30;
661
        502 : data_o <= 8'h60;
662
        503 : data_o <= 8'h00;
663
 
664
        // ASCII 63
665
        504 : data_o <= 8'h7c;
666
        505 : data_o <= 8'hc6;
667
        506 : data_o <= 8'h0c;
668
        507 : data_o <= 8'h18;
669
        508 : data_o <= 8'h18;
670
        509 : data_o <= 8'h00;
671
        510 : data_o <= 8'h18;
672
        511 : data_o <= 8'h00;
673
 
674
        // ASCII 64
675
        512 : data_o <= 8'h7c;
676
        513 : data_o <= 8'hc6;
677
        514 : data_o <= 8'hde;
678
        515 : data_o <= 8'hde;
679
        516 : data_o <= 8'hde;
680
        517 : data_o <= 8'hc0;
681
        518 : data_o <= 8'h78;
682
        519 : data_o <= 8'h00;
683
 
684
        // ASCII 65
685
        520 : data_o <= 8'h38;
686
        521 : data_o <= 8'h6c;
687
        522 : data_o <= 8'hc6;
688
        523 : data_o <= 8'hfe;
689
        524 : data_o <= 8'hc6;
690
        525 : data_o <= 8'hc6;
691
        526 : data_o <= 8'hc6;
692
        527 : data_o <= 8'h00;
693
 
694
        // ASCII 66
695
        528 : data_o <= 8'hfc;
696
        529 : data_o <= 8'h66;
697
        530 : data_o <= 8'h66;
698
        531 : data_o <= 8'h7c;
699
        532 : data_o <= 8'h66;
700
        533 : data_o <= 8'h66;
701
        534 : data_o <= 8'hfc;
702
        535 : data_o <= 8'h00;
703
 
704
        // ASCII 67
705
        536 : data_o <= 8'h3c;
706
        537 : data_o <= 8'h66;
707
        538 : data_o <= 8'hc0;
708
        539 : data_o <= 8'hc0;
709
        540 : data_o <= 8'hc0;
710
        541 : data_o <= 8'h66;
711
        542 : data_o <= 8'h3c;
712
        543 : data_o <= 8'h00;
713
 
714
        // ASCII 68
715
        544 : data_o <= 8'hf8;
716
        545 : data_o <= 8'h6c;
717
        546 : data_o <= 8'h66;
718
        547 : data_o <= 8'h66;
719
        548 : data_o <= 8'h66;
720
        549 : data_o <= 8'h6c;
721
        550 : data_o <= 8'hf8;
722
        551 : data_o <= 8'h00;
723
 
724
        // ASCII 69
725
        552 : data_o <= 8'hfe;
726
        553 : data_o <= 8'h62;
727
        554 : data_o <= 8'h68;
728
        555 : data_o <= 8'h78;
729
        556 : data_o <= 8'h68;
730
        557 : data_o <= 8'h62;
731
        558 : data_o <= 8'hfe;
732
        559 : data_o <= 8'h00;
733
 
734
        // ASCII 70
735
        560 : data_o <= 8'hfe;
736
        561 : data_o <= 8'h62;
737
        562 : data_o <= 8'h68;
738
        563 : data_o <= 8'h78;
739
        564 : data_o <= 8'h68;
740
        565 : data_o <= 8'h60;
741
        566 : data_o <= 8'hf0;
742
        567 : data_o <= 8'h00;
743
 
744
        // ASCII 71
745
        568 : data_o <= 8'h3c;
746
        569 : data_o <= 8'h66;
747
        570 : data_o <= 8'hc0;
748
        571 : data_o <= 8'hc0;
749
        572 : data_o <= 8'hce;
750
        573 : data_o <= 8'h66;
751
        574 : data_o <= 8'h3a;
752
        575 : data_o <= 8'h00;
753
 
754
        // ASCII 72
755
        576 : data_o <= 8'hc6;
756
        577 : data_o <= 8'hc6;
757
        578 : data_o <= 8'hc6;
758
        579 : data_o <= 8'hfe;
759
        580 : data_o <= 8'hc6;
760
        581 : data_o <= 8'hc6;
761
        582 : data_o <= 8'hc6;
762
        583 : data_o <= 8'h00;
763
 
764
        // ASCII 73
765
        584 : data_o <= 8'h3c;
766
        585 : data_o <= 8'h18;
767
        586 : data_o <= 8'h18;
768
        587 : data_o <= 8'h18;
769
        588 : data_o <= 8'h18;
770
        589 : data_o <= 8'h18;
771
        590 : data_o <= 8'h3c;
772
        591 : data_o <= 8'h00;
773
 
774
        // ASCII 74
775
        592 : data_o <= 8'h1e;
776
        593 : data_o <= 8'h0c;
777
        594 : data_o <= 8'h0c;
778
        595 : data_o <= 8'h0c;
779
        596 : data_o <= 8'hcc;
780
        597 : data_o <= 8'hcc;
781
        598 : data_o <= 8'h78;
782
        599 : data_o <= 8'h00;
783
 
784
        // ASCII 75
785
        600 : data_o <= 8'he6;
786
        601 : data_o <= 8'h66;
787
        602 : data_o <= 8'h6c;
788
        603 : data_o <= 8'h78;
789
        604 : data_o <= 8'h6c;
790
        605 : data_o <= 8'h66;
791
        606 : data_o <= 8'he6;
792
        607 : data_o <= 8'h00;
793
 
794
        // ASCII 76
795
        608 : data_o <= 8'hf0;
796
        609 : data_o <= 8'h60;
797
        610 : data_o <= 8'h60;
798
        611 : data_o <= 8'h60;
799
        612 : data_o <= 8'h62;
800
        613 : data_o <= 8'h66;
801
        614 : data_o <= 8'hfe;
802
        615 : data_o <= 8'h00;
803
 
804
        // ASCII 77
805
        616 : data_o <= 8'hc6;
806
        617 : data_o <= 8'hee;
807
        618 : data_o <= 8'hfe;
808
        619 : data_o <= 8'hfe;
809
        620 : data_o <= 8'hd6;
810
        621 : data_o <= 8'hc6;
811
        622 : data_o <= 8'hc6;
812
        623 : data_o <= 8'h00;
813
 
814
        // ASCII 78
815
        624 : data_o <= 8'hc6;
816
        625 : data_o <= 8'he6;
817
        626 : data_o <= 8'hf6;
818
        627 : data_o <= 8'hde;
819
        628 : data_o <= 8'hce;
820
        629 : data_o <= 8'hc6;
821
        630 : data_o <= 8'hc6;
822
        631 : data_o <= 8'h00;
823
 
824
        // ASCII 79
825
        632 : data_o <= 8'h7c;
826
        633 : data_o <= 8'hc6;
827
        634 : data_o <= 8'hc6;
828
        635 : data_o <= 8'hc6;
829
        636 : data_o <= 8'hc6;
830
        637 : data_o <= 8'hc6;
831
        638 : data_o <= 8'h7c;
832
        639 : data_o <= 8'h00;
833
 
834
        // ASCII 80
835
        640 : data_o <= 8'hfc;
836
        641 : data_o <= 8'h66;
837
        642 : data_o <= 8'h66;
838
        643 : data_o <= 8'h7c;
839
        644 : data_o <= 8'h60;
840
        645 : data_o <= 8'h60;
841
        646 : data_o <= 8'hf0;
842
        647 : data_o <= 8'h00;
843
 
844
        // ASCII 81
845
        648 : data_o <= 8'h7c;
846
        649 : data_o <= 8'hc6;
847
        650 : data_o <= 8'hc6;
848
        651 : data_o <= 8'hc6;
849
        652 : data_o <= 8'hc6;
850
        653 : data_o <= 8'hce;
851
        654 : data_o <= 8'h7c;
852
        655 : data_o <= 8'h0e;
853
 
854
        // ASCII 82
855
        656 : data_o <= 8'hfc;
856
        657 : data_o <= 8'h66;
857
        658 : data_o <= 8'h66;
858
        659 : data_o <= 8'h7c;
859
        660 : data_o <= 8'h6c;
860
        661 : data_o <= 8'h66;
861
        662 : data_o <= 8'he6;
862
        663 : data_o <= 8'h00;
863
 
864
        // ASCII 83
865
        664 : data_o <= 8'h3c;
866
        665 : data_o <= 8'h66;
867
        666 : data_o <= 8'h30;
868
        667 : data_o <= 8'h18;
869
        668 : data_o <= 8'h0c;
870
        669 : data_o <= 8'h66;
871
        670 : data_o <= 8'h3c;
872
        671 : data_o <= 8'h00;
873
 
874
        // ASCII 84
875
        672 : data_o <= 8'h7e;
876
        673 : data_o <= 8'h7e;
877
        674 : data_o <= 8'h5a;
878
        675 : data_o <= 8'h18;
879
        676 : data_o <= 8'h18;
880
        677 : data_o <= 8'h18;
881
        678 : data_o <= 8'h3c;
882
        679 : data_o <= 8'h00;
883
 
884
        // ASCII 85
885
        680 : data_o <= 8'hc6;
886
        681 : data_o <= 8'hc6;
887
        682 : data_o <= 8'hc6;
888
        683 : data_o <= 8'hc6;
889
        684 : data_o <= 8'hc6;
890
        685 : data_o <= 8'hc6;
891
        686 : data_o <= 8'h7c;
892
        687 : data_o <= 8'h00;
893
 
894
        // ASCII 86
895
        688 : data_o <= 8'hc6;
896
        689 : data_o <= 8'hc6;
897
        690 : data_o <= 8'hc6;
898
        691 : data_o <= 8'hc6;
899
        692 : data_o <= 8'hc6;
900
        693 : data_o <= 8'h6c;
901
        694 : data_o <= 8'h38;
902
        695 : data_o <= 8'h00;
903
 
904
        // ASCII 87
905
        696 : data_o <= 8'hc6;
906
        697 : data_o <= 8'hc6;
907
        698 : data_o <= 8'hc6;
908
        699 : data_o <= 8'hd6;
909
        700 : data_o <= 8'hd6;
910
        701 : data_o <= 8'hfe;
911
        702 : data_o <= 8'h6c;
912
        703 : data_o <= 8'h00;
913
 
914
        // ASCII 88
915
        704 : data_o <= 8'hc6;
916
        705 : data_o <= 8'hc6;
917
        706 : data_o <= 8'h6c;
918
        707 : data_o <= 8'h38;
919
        708 : data_o <= 8'h6c;
920
        709 : data_o <= 8'hc6;
921
        710 : data_o <= 8'hc6;
922
        711 : data_o <= 8'h00;
923
 
924
        // ASCII 89
925
        712 : data_o <= 8'h66;
926
        713 : data_o <= 8'h66;
927
        714 : data_o <= 8'h66;
928
        715 : data_o <= 8'h3c;
929
        716 : data_o <= 8'h18;
930
        717 : data_o <= 8'h18;
931
        718 : data_o <= 8'h3c;
932
        719 : data_o <= 8'h00;
933
 
934
        // ASCII 90
935
        720 : data_o <= 8'hfe;
936
        721 : data_o <= 8'hc6;
937
        722 : data_o <= 8'h8c;
938
        723 : data_o <= 8'h18;
939
        724 : data_o <= 8'h32;
940
        725 : data_o <= 8'h66;
941
        726 : data_o <= 8'hfe;
942
        727 : data_o <= 8'h00;
943
 
944
        // ASCII 91
945
        728 : data_o <= 8'h3c;
946
        729 : data_o <= 8'h30;
947
        730 : data_o <= 8'h30;
948
        731 : data_o <= 8'h30;
949
        732 : data_o <= 8'h30;
950
        733 : data_o <= 8'h30;
951
        734 : data_o <= 8'h3c;
952
        735 : data_o <= 8'h00;
953
 
954
        // ASCII 92
955
        736 : data_o <= 8'hc0;
956
        737 : data_o <= 8'h60;
957
        738 : data_o <= 8'h30;
958
        739 : data_o <= 8'h18;
959
        740 : data_o <= 8'h0c;
960
        741 : data_o <= 8'h06;
961
        742 : data_o <= 8'h02;
962
        743 : data_o <= 8'h00;
963
 
964
        // ASCII 93
965
        744 : data_o <= 8'h3c;
966
        745 : data_o <= 8'h0c;
967
        746 : data_o <= 8'h0c;
968
        747 : data_o <= 8'h0c;
969
        748 : data_o <= 8'h0c;
970
        749 : data_o <= 8'h0c;
971
        750 : data_o <= 8'h3c;
972
        751 : data_o <= 8'h00;
973
 
974
        // ASCII 94
975
        752 : data_o <= 8'h10;
976
        753 : data_o <= 8'h38;
977
        754 : data_o <= 8'h6c;
978
        755 : data_o <= 8'hc6;
979
        756 : data_o <= 8'h00;
980
        757 : data_o <= 8'h00;
981
        758 : data_o <= 8'h00;
982
        759 : data_o <= 8'h00;
983
 
984
        // ASCII 95
985
        760 : data_o <= 8'h00;
986
        761 : data_o <= 8'h00;
987
        762 : data_o <= 8'h00;
988
        763 : data_o <= 8'h00;
989
        764 : data_o <= 8'h00;
990
        765 : data_o <= 8'h00;
991
        766 : data_o <= 8'h00;
992
        767 : data_o <= 8'hff;
993
 
994
        // ASCII 96
995
        768 : data_o <= 8'h30;
996
        769 : data_o <= 8'h18;
997
        770 : data_o <= 8'h0c;
998
        771 : data_o <= 8'h00;
999
        772 : data_o <= 8'h00;
1000
        773 : data_o <= 8'h00;
1001
        774 : data_o <= 8'h00;
1002
        775 : data_o <= 8'h00;
1003
 
1004
        // ASCII 97
1005
        776 : data_o <= 8'h00;
1006
        777 : data_o <= 8'h00;
1007
        778 : data_o <= 8'h78;
1008
        779 : data_o <= 8'h0c;
1009
        780 : data_o <= 8'h7c;
1010
        781 : data_o <= 8'hcc;
1011
        782 : data_o <= 8'h76;
1012
        783 : data_o <= 8'h00;
1013
 
1014
        // ASCII 98
1015
        784 : data_o <= 8'he0;
1016
        785 : data_o <= 8'h60;
1017
        786 : data_o <= 8'h7c;
1018
        787 : data_o <= 8'h66;
1019
        788 : data_o <= 8'h66;
1020
        789 : data_o <= 8'h66;
1021
        790 : data_o <= 8'hdc;
1022
        791 : data_o <= 8'h00;
1023
 
1024
        // ASCII 99
1025
        792 : data_o <= 8'h00;
1026
        793 : data_o <= 8'h00;
1027
        794 : data_o <= 8'h7c;
1028
        795 : data_o <= 8'hc6;
1029
        796 : data_o <= 8'hc0;
1030
        797 : data_o <= 8'hc6;
1031
        798 : data_o <= 8'h7c;
1032
        799 : data_o <= 8'h00;
1033
 
1034
        // ASCII 100
1035
        800 : data_o <= 8'h1c;
1036
        801 : data_o <= 8'h0c;
1037
        802 : data_o <= 8'h7c;
1038
        803 : data_o <= 8'hcc;
1039
        804 : data_o <= 8'hcc;
1040
        805 : data_o <= 8'hcc;
1041
        806 : data_o <= 8'h76;
1042
        807 : data_o <= 8'h00;
1043
 
1044
        // ASCII 101
1045
        808 : data_o <= 8'h00;
1046
        809 : data_o <= 8'h00;
1047
        810 : data_o <= 8'h7c;
1048
        811 : data_o <= 8'hc6;
1049
        812 : data_o <= 8'hfe;
1050
        813 : data_o <= 8'hc0;
1051
        814 : data_o <= 8'h7c;
1052
        815 : data_o <= 8'h00;
1053
 
1054
        // ASCII 102
1055
        816 : data_o <= 8'h3c;
1056
        817 : data_o <= 8'h66;
1057
        818 : data_o <= 8'h60;
1058
        819 : data_o <= 8'hf8;
1059
        820 : data_o <= 8'h60;
1060
        821 : data_o <= 8'h60;
1061
        822 : data_o <= 8'hf0;
1062
        823 : data_o <= 8'h00;
1063
 
1064
        // ASCII 103
1065
        824 : data_o <= 8'h00;
1066
        825 : data_o <= 8'h00;
1067
        826 : data_o <= 8'h76;
1068
        827 : data_o <= 8'hcc;
1069
        828 : data_o <= 8'hcc;
1070
        829 : data_o <= 8'h7c;
1071
        830 : data_o <= 8'h0c;
1072
        831 : data_o <= 8'hf8;
1073
 
1074
        // ASCII 104
1075
        832 : data_o <= 8'he0;
1076
        833 : data_o <= 8'h60;
1077
        834 : data_o <= 8'h6c;
1078
        835 : data_o <= 8'h76;
1079
        836 : data_o <= 8'h66;
1080
        837 : data_o <= 8'h66;
1081
        838 : data_o <= 8'he6;
1082
        839 : data_o <= 8'h00;
1083
 
1084
        // ASCII 105
1085
        840 : data_o <= 8'h18;
1086
        841 : data_o <= 8'h00;
1087
        842 : data_o <= 8'h38;
1088
        843 : data_o <= 8'h18;
1089
        844 : data_o <= 8'h18;
1090
        845 : data_o <= 8'h18;
1091
        846 : data_o <= 8'h3c;
1092
        847 : data_o <= 8'h00;
1093
 
1094
        // ASCII 106
1095
        848 : data_o <= 8'h06;
1096
        849 : data_o <= 8'h00;
1097
        850 : data_o <= 8'h06;
1098
        851 : data_o <= 8'h06;
1099
        852 : data_o <= 8'h06;
1100
        853 : data_o <= 8'h66;
1101
        854 : data_o <= 8'h66;
1102
        855 : data_o <= 8'h3c;
1103
 
1104
        // ASCII 107
1105
        856 : data_o <= 8'he0;
1106
        857 : data_o <= 8'h60;
1107
        858 : data_o <= 8'h66;
1108
        859 : data_o <= 8'h6c;
1109
        860 : data_o <= 8'h78;
1110
        861 : data_o <= 8'h6c;
1111
        862 : data_o <= 8'he6;
1112
        863 : data_o <= 8'h00;
1113
 
1114
        // ASCII 108
1115
        864 : data_o <= 8'h38;
1116
        865 : data_o <= 8'h18;
1117
        866 : data_o <= 8'h18;
1118
        867 : data_o <= 8'h18;
1119
        868 : data_o <= 8'h18;
1120
        869 : data_o <= 8'h18;
1121
        870 : data_o <= 8'h3c;
1122
        871 : data_o <= 8'h00;
1123
 
1124
        // ASCII 109
1125
        872 : data_o <= 8'h00;
1126
        873 : data_o <= 8'h00;
1127
        874 : data_o <= 8'hec;
1128
        875 : data_o <= 8'hfe;
1129
        876 : data_o <= 8'hd6;
1130
        877 : data_o <= 8'hd6;
1131
        878 : data_o <= 8'hd6;
1132
        879 : data_o <= 8'h00;
1133
 
1134
        // ASCII 110
1135
        880 : data_o <= 8'h00;
1136
        881 : data_o <= 8'h00;
1137
        882 : data_o <= 8'hdc;
1138
        883 : data_o <= 8'h66;
1139
        884 : data_o <= 8'h66;
1140
        885 : data_o <= 8'h66;
1141
        886 : data_o <= 8'h66;
1142
        887 : data_o <= 8'h00;
1143
 
1144
        // ASCII 111
1145
        888 : data_o <= 8'h00;
1146
        889 : data_o <= 8'h00;
1147
        890 : data_o <= 8'h7c;
1148
        891 : data_o <= 8'hc6;
1149
        892 : data_o <= 8'hc6;
1150
        893 : data_o <= 8'hc6;
1151
        894 : data_o <= 8'h7c;
1152
        895 : data_o <= 8'h00;
1153
 
1154
        // ASCII 112
1155
        896 : data_o <= 8'h00;
1156
        897 : data_o <= 8'h00;
1157
        898 : data_o <= 8'hdc;
1158
        899 : data_o <= 8'h66;
1159
        900 : data_o <= 8'h66;
1160
        901 : data_o <= 8'h7c;
1161
        902 : data_o <= 8'h60;
1162
        903 : data_o <= 8'hf0;
1163
 
1164
        // ASCII 113
1165
        904 : data_o <= 8'h00;
1166
        905 : data_o <= 8'h00;
1167
        906 : data_o <= 8'h76;
1168
        907 : data_o <= 8'hcc;
1169
        908 : data_o <= 8'hcc;
1170
        909 : data_o <= 8'h7c;
1171
        910 : data_o <= 8'h0c;
1172
        911 : data_o <= 8'h1e;
1173
 
1174
        // ASCII 114
1175
        912 : data_o <= 8'h00;
1176
        913 : data_o <= 8'h00;
1177
        914 : data_o <= 8'hdc;
1178
        915 : data_o <= 8'h76;
1179
        916 : data_o <= 8'h60;
1180
        917 : data_o <= 8'h60;
1181
        918 : data_o <= 8'hf0;
1182
        919 : data_o <= 8'h00;
1183
 
1184
        // ASCII 115
1185
        920 : data_o <= 8'h00;
1186
        921 : data_o <= 8'h00;
1187
        922 : data_o <= 8'h7e;
1188
        923 : data_o <= 8'hc0;
1189
        924 : data_o <= 8'h7c;
1190
        925 : data_o <= 8'h06;
1191
        926 : data_o <= 8'hfc;
1192
        927 : data_o <= 8'h00;
1193
 
1194
        // ASCII 116
1195
        928 : data_o <= 8'h30;
1196
        929 : data_o <= 8'h30;
1197
        930 : data_o <= 8'hfc;
1198
        931 : data_o <= 8'h30;
1199
        932 : data_o <= 8'h30;
1200
        933 : data_o <= 8'h36;
1201
        934 : data_o <= 8'h1c;
1202
        935 : data_o <= 8'h00;
1203
 
1204
        // ASCII 117
1205
        936 : data_o <= 8'h00;
1206
        937 : data_o <= 8'h00;
1207
        938 : data_o <= 8'hcc;
1208
        939 : data_o <= 8'hcc;
1209
        940 : data_o <= 8'hcc;
1210
        941 : data_o <= 8'hcc;
1211
        942 : data_o <= 8'h76;
1212
        943 : data_o <= 8'h00;
1213
 
1214
        // ASCII 118
1215
        944 : data_o <= 8'h00;
1216
        945 : data_o <= 8'h00;
1217
        946 : data_o <= 8'hc6;
1218
        947 : data_o <= 8'hc6;
1219
        948 : data_o <= 8'hc6;
1220
        949 : data_o <= 8'h6c;
1221
        950 : data_o <= 8'h38;
1222
        951 : data_o <= 8'h00;
1223
 
1224
        // ASCII 119
1225
        952 : data_o <= 8'h00;
1226
        953 : data_o <= 8'h00;
1227
        954 : data_o <= 8'hc6;
1228
        955 : data_o <= 8'hd6;
1229
        956 : data_o <= 8'hd6;
1230
        957 : data_o <= 8'hfe;
1231
        958 : data_o <= 8'h6c;
1232
        959 : data_o <= 8'h00;
1233
 
1234
        // ASCII 120
1235
        960 : data_o <= 8'h00;
1236
        961 : data_o <= 8'h00;
1237
        962 : data_o <= 8'hc6;
1238
        963 : data_o <= 8'h6c;
1239
        964 : data_o <= 8'h38;
1240
        965 : data_o <= 8'h6c;
1241
        966 : data_o <= 8'hc6;
1242
        967 : data_o <= 8'h00;
1243
 
1244
        // ASCII 121
1245
        968 : data_o <= 8'h00;
1246
        969 : data_o <= 8'h00;
1247
        970 : data_o <= 8'hc6;
1248
        971 : data_o <= 8'hc6;
1249
        972 : data_o <= 8'hc6;
1250
        973 : data_o <= 8'h7e;
1251
        974 : data_o <= 8'h06;
1252
        975 : data_o <= 8'hfc;
1253
 
1254
        // ASCII 122
1255
        976 : data_o <= 8'h00;
1256
        977 : data_o <= 8'h00;
1257
        978 : data_o <= 8'h7e;
1258
        979 : data_o <= 8'h4c;
1259
        980 : data_o <= 8'h18;
1260
        981 : data_o <= 8'h32;
1261
        982 : data_o <= 8'h7e;
1262
        983 : data_o <= 8'h00;
1263
 
1264
        // ASCII 123
1265
        984 : data_o <= 8'h0e;
1266
        985 : data_o <= 8'h18;
1267
        986 : data_o <= 8'h18;
1268
        987 : data_o <= 8'h70;
1269
        988 : data_o <= 8'h18;
1270
        989 : data_o <= 8'h18;
1271
        990 : data_o <= 8'h0e;
1272
        991 : data_o <= 8'h00;
1273
 
1274
        // ASCII 124
1275
        992 : data_o <= 8'h18;
1276
        993 : data_o <= 8'h18;
1277
        994 : data_o <= 8'h18;
1278
        995 : data_o <= 8'h18;
1279
        996 : data_o <= 8'h18;
1280
        997 : data_o <= 8'h18;
1281
        998 : data_o <= 8'h18;
1282
        999 : data_o <= 8'h00;
1283
 
1284
        // ASCII 125
1285
        1000 : data_o <= 8'h70;
1286
        1001 : data_o <= 8'h18;
1287
        1002 : data_o <= 8'h18;
1288
        1003 : data_o <= 8'h0e;
1289
        1004 : data_o <= 8'h18;
1290
        1005 : data_o <= 8'h18;
1291
        1006 : data_o <= 8'h70;
1292
        1007 : data_o <= 8'h00;
1293
 
1294
        // ASCII 126
1295
        1008 : data_o <= 8'h76;
1296
        1009 : data_o <= 8'hdc;
1297
        1010 : data_o <= 8'h00;
1298
        1011 : data_o <= 8'h00;
1299
        1012 : data_o <= 8'h00;
1300
        1013 : data_o <= 8'h00;
1301
        1014 : data_o <= 8'h00;
1302
        1015 : data_o <= 8'h00;
1303
 
1304
        // ASCII 127
1305
        1016 : data_o <= 8'h00;
1306
        1017 : data_o <= 8'h10;
1307
        1018 : data_o <= 8'h38;
1308
        1019 : data_o <= 8'h6c;
1309
        1020 : data_o <= 8'hc6;
1310
        1021 : data_o <= 8'hc6;
1311
        1022 : data_o <= 8'hfe;
1312
        1023 : data_o <= 8'h00;
1313
 
1314
        // ASCII 128
1315
        1024 : data_o <= 8'h7c;
1316
        1025 : data_o <= 8'hc6;
1317
        1026 : data_o <= 8'hc0;
1318
        1027 : data_o <= 8'hc0;
1319
        1028 : data_o <= 8'hc6;
1320
        1029 : data_o <= 8'h7c;
1321
        1030 : data_o <= 8'h0c;
1322
        1031 : data_o <= 8'h78;
1323
 
1324
        // ASCII 129
1325
        1032 : data_o <= 8'hcc;
1326
        1033 : data_o <= 8'h00;
1327
        1034 : data_o <= 8'hcc;
1328
        1035 : data_o <= 8'hcc;
1329
        1036 : data_o <= 8'hcc;
1330
        1037 : data_o <= 8'hcc;
1331
        1038 : data_o <= 8'h76;
1332
        1039 : data_o <= 8'h00;
1333
 
1334
        // ASCII 130
1335
        1040 : data_o <= 8'h0c;
1336
        1041 : data_o <= 8'h18;
1337
        1042 : data_o <= 8'h7c;
1338
        1043 : data_o <= 8'hc6;
1339
        1044 : data_o <= 8'hfe;
1340
        1045 : data_o <= 8'hc0;
1341
        1046 : data_o <= 8'h7c;
1342
        1047 : data_o <= 8'h00;
1343
 
1344
        // ASCII 131
1345
        1048 : data_o <= 8'h7c;
1346
        1049 : data_o <= 8'h82;
1347
        1050 : data_o <= 8'h78;
1348
        1051 : data_o <= 8'h0c;
1349
        1052 : data_o <= 8'h7c;
1350
        1053 : data_o <= 8'hcc;
1351
        1054 : data_o <= 8'h76;
1352
        1055 : data_o <= 8'h00;
1353
 
1354
        // ASCII 132
1355
        1056 : data_o <= 8'hc6;
1356
        1057 : data_o <= 8'h00;
1357
        1058 : data_o <= 8'h78;
1358
        1059 : data_o <= 8'h0c;
1359
        1060 : data_o <= 8'h7c;
1360
        1061 : data_o <= 8'hcc;
1361
        1062 : data_o <= 8'h76;
1362
        1063 : data_o <= 8'h00;
1363
 
1364
        // ASCII 133
1365
        1064 : data_o <= 8'h30;
1366
        1065 : data_o <= 8'h18;
1367
        1066 : data_o <= 8'h78;
1368
        1067 : data_o <= 8'h0c;
1369
        1068 : data_o <= 8'h7c;
1370
        1069 : data_o <= 8'hcc;
1371
        1070 : data_o <= 8'h76;
1372
        1071 : data_o <= 8'h00;
1373
 
1374
        // ASCII 134
1375
        1072 : data_o <= 8'h30;
1376
        1073 : data_o <= 8'h30;
1377
        1074 : data_o <= 8'h78;
1378
        1075 : data_o <= 8'h0c;
1379
        1076 : data_o <= 8'h7c;
1380
        1077 : data_o <= 8'hcc;
1381
        1078 : data_o <= 8'h76;
1382
        1079 : data_o <= 8'h00;
1383
 
1384
        // ASCII 135
1385
        1080 : data_o <= 8'h00;
1386
        1081 : data_o <= 8'h00;
1387
        1082 : data_o <= 8'h7e;
1388
        1083 : data_o <= 8'hc0;
1389
        1084 : data_o <= 8'hc0;
1390
        1085 : data_o <= 8'h7e;
1391
        1086 : data_o <= 8'h0c;
1392
        1087 : data_o <= 8'h38;
1393
 
1394
        // ASCII 136
1395
        1088 : data_o <= 8'h7c;
1396
        1089 : data_o <= 8'h82;
1397
        1090 : data_o <= 8'h7c;
1398
        1091 : data_o <= 8'hc6;
1399
        1092 : data_o <= 8'hfe;
1400
        1093 : data_o <= 8'hc0;
1401
        1094 : data_o <= 8'h7c;
1402
        1095 : data_o <= 8'h00;
1403
 
1404
        // ASCII 137
1405
        1096 : data_o <= 8'hc6;
1406
        1097 : data_o <= 8'h00;
1407
        1098 : data_o <= 8'h7c;
1408
        1099 : data_o <= 8'hc6;
1409
        1100 : data_o <= 8'hfe;
1410
        1101 : data_o <= 8'hc0;
1411
        1102 : data_o <= 8'h7c;
1412
        1103 : data_o <= 8'h00;
1413
 
1414
        // ASCII 138
1415
        1104 : data_o <= 8'h30;
1416
        1105 : data_o <= 8'h18;
1417
        1106 : data_o <= 8'h7c;
1418
        1107 : data_o <= 8'hc6;
1419
        1108 : data_o <= 8'hfe;
1420
        1109 : data_o <= 8'hc0;
1421
        1110 : data_o <= 8'h7c;
1422
        1111 : data_o <= 8'h00;
1423
 
1424
        // ASCII 139
1425
        1112 : data_o <= 8'h66;
1426
        1113 : data_o <= 8'h00;
1427
        1114 : data_o <= 8'h38;
1428
        1115 : data_o <= 8'h18;
1429
        1116 : data_o <= 8'h18;
1430
        1117 : data_o <= 8'h18;
1431
        1118 : data_o <= 8'h3c;
1432
        1119 : data_o <= 8'h00;
1433
 
1434
        // ASCII 140
1435
        1120 : data_o <= 8'h7c;
1436
        1121 : data_o <= 8'h82;
1437
        1122 : data_o <= 8'h38;
1438
        1123 : data_o <= 8'h18;
1439
        1124 : data_o <= 8'h18;
1440
        1125 : data_o <= 8'h18;
1441
        1126 : data_o <= 8'h3c;
1442
        1127 : data_o <= 8'h00;
1443
 
1444
        // ASCII 141
1445
        1128 : data_o <= 8'h30;
1446
        1129 : data_o <= 8'h18;
1447
        1130 : data_o <= 8'h00;
1448
        1131 : data_o <= 8'h38;
1449
        1132 : data_o <= 8'h18;
1450
        1133 : data_o <= 8'h18;
1451
        1134 : data_o <= 8'h3c;
1452
        1135 : data_o <= 8'h00;
1453
 
1454
        // ASCII 142
1455
        1136 : data_o <= 8'hc6;
1456
        1137 : data_o <= 8'h38;
1457
        1138 : data_o <= 8'h6c;
1458
        1139 : data_o <= 8'hc6;
1459
        1140 : data_o <= 8'hfe;
1460
        1141 : data_o <= 8'hc6;
1461
        1142 : data_o <= 8'hc6;
1462
        1143 : data_o <= 8'h00;
1463
 
1464
        // ASCII 143
1465
        1144 : data_o <= 8'h38;
1466
        1145 : data_o <= 8'h6c;
1467
        1146 : data_o <= 8'h7c;
1468
        1147 : data_o <= 8'hc6;
1469
        1148 : data_o <= 8'hfe;
1470
        1149 : data_o <= 8'hc6;
1471
        1150 : data_o <= 8'hc6;
1472
        1151 : data_o <= 8'h00;
1473
 
1474
        // ASCII 144
1475
        1152 : data_o <= 8'h18;
1476
        1153 : data_o <= 8'h30;
1477
        1154 : data_o <= 8'hfe;
1478
        1155 : data_o <= 8'hc0;
1479
        1156 : data_o <= 8'hf8;
1480
        1157 : data_o <= 8'hc0;
1481
        1158 : data_o <= 8'hfe;
1482
        1159 : data_o <= 8'h00;
1483
 
1484
        // ASCII 145
1485
        1160 : data_o <= 8'h00;
1486
        1161 : data_o <= 8'h00;
1487
        1162 : data_o <= 8'h7e;
1488
        1163 : data_o <= 8'h18;
1489
        1164 : data_o <= 8'h7e;
1490
        1165 : data_o <= 8'hd8;
1491
        1166 : data_o <= 8'h7e;
1492
        1167 : data_o <= 8'h00;
1493
 
1494
        // ASCII 146
1495
        1168 : data_o <= 8'h3e;
1496
        1169 : data_o <= 8'h6c;
1497
        1170 : data_o <= 8'hcc;
1498
        1171 : data_o <= 8'hfe;
1499
        1172 : data_o <= 8'hcc;
1500
        1173 : data_o <= 8'hcc;
1501
        1174 : data_o <= 8'hce;
1502
        1175 : data_o <= 8'h00;
1503
 
1504
        // ASCII 147
1505
        1176 : data_o <= 8'h7c;
1506
        1177 : data_o <= 8'h82;
1507
        1178 : data_o <= 8'h7c;
1508
        1179 : data_o <= 8'hc6;
1509
        1180 : data_o <= 8'hc6;
1510
        1181 : data_o <= 8'hc6;
1511
        1182 : data_o <= 8'h7c;
1512
        1183 : data_o <= 8'h00;
1513
 
1514
        // ASCII 148
1515
        1184 : data_o <= 8'hc6;
1516
        1185 : data_o <= 8'h00;
1517
        1186 : data_o <= 8'h7c;
1518
        1187 : data_o <= 8'hc6;
1519
        1188 : data_o <= 8'hc6;
1520
        1189 : data_o <= 8'hc6;
1521
        1190 : data_o <= 8'h7c;
1522
        1191 : data_o <= 8'h00;
1523
 
1524
        // ASCII 149
1525
        1192 : data_o <= 8'h30;
1526
        1193 : data_o <= 8'h18;
1527
        1194 : data_o <= 8'h7c;
1528
        1195 : data_o <= 8'hc6;
1529
        1196 : data_o <= 8'hc6;
1530
        1197 : data_o <= 8'hc6;
1531
        1198 : data_o <= 8'h7c;
1532
        1199 : data_o <= 8'h00;
1533
 
1534
        // ASCII 150
1535
        1200 : data_o <= 8'h78;
1536
        1201 : data_o <= 8'h84;
1537
        1202 : data_o <= 8'h00;
1538
        1203 : data_o <= 8'hcc;
1539
        1204 : data_o <= 8'hcc;
1540
        1205 : data_o <= 8'hcc;
1541
        1206 : data_o <= 8'h76;
1542
        1207 : data_o <= 8'h00;
1543
 
1544
        // ASCII 151
1545
        1208 : data_o <= 8'h60;
1546
        1209 : data_o <= 8'h30;
1547
        1210 : data_o <= 8'hcc;
1548
        1211 : data_o <= 8'hcc;
1549
        1212 : data_o <= 8'hcc;
1550
        1213 : data_o <= 8'hcc;
1551
        1214 : data_o <= 8'h76;
1552
        1215 : data_o <= 8'h00;
1553
 
1554
        // ASCII 152
1555
        1216 : data_o <= 8'hc6;
1556
        1217 : data_o <= 8'h00;
1557
        1218 : data_o <= 8'hc6;
1558
        1219 : data_o <= 8'hc6;
1559
        1220 : data_o <= 8'hc6;
1560
        1221 : data_o <= 8'h7e;
1561
        1222 : data_o <= 8'h06;
1562
        1223 : data_o <= 8'hfc;
1563
 
1564
        // ASCII 153
1565
        1224 : data_o <= 8'hc6;
1566
        1225 : data_o <= 8'h38;
1567
        1226 : data_o <= 8'h6c;
1568
        1227 : data_o <= 8'hc6;
1569
        1228 : data_o <= 8'hc6;
1570
        1229 : data_o <= 8'h6c;
1571
        1230 : data_o <= 8'h38;
1572
        1231 : data_o <= 8'h00;
1573
 
1574
        // ASCII 154
1575
        1232 : data_o <= 8'hc6;
1576
        1233 : data_o <= 8'h00;
1577
        1234 : data_o <= 8'hc6;
1578
        1235 : data_o <= 8'hc6;
1579
        1236 : data_o <= 8'hc6;
1580
        1237 : data_o <= 8'hc6;
1581
        1238 : data_o <= 8'h7c;
1582
        1239 : data_o <= 8'h00;
1583
 
1584
        // ASCII 155
1585
        1240 : data_o <= 8'h18;
1586
        1241 : data_o <= 8'h18;
1587
        1242 : data_o <= 8'h7e;
1588
        1243 : data_o <= 8'hc0;
1589
        1244 : data_o <= 8'hc0;
1590
        1245 : data_o <= 8'h7e;
1591
        1246 : data_o <= 8'h18;
1592
        1247 : data_o <= 8'h18;
1593
 
1594
        // ASCII 156
1595
        1248 : data_o <= 8'h38;
1596
        1249 : data_o <= 8'h6c;
1597
        1250 : data_o <= 8'h64;
1598
        1251 : data_o <= 8'hf0;
1599
        1252 : data_o <= 8'h60;
1600
        1253 : data_o <= 8'h66;
1601
        1254 : data_o <= 8'hfc;
1602
        1255 : data_o <= 8'h00;
1603
 
1604
        // ASCII 157
1605
        1256 : data_o <= 8'h66;
1606
        1257 : data_o <= 8'h66;
1607
        1258 : data_o <= 8'h3c;
1608
        1259 : data_o <= 8'h7e;
1609
        1260 : data_o <= 8'h18;
1610
        1261 : data_o <= 8'h7e;
1611
        1262 : data_o <= 8'h18;
1612
        1263 : data_o <= 8'h18;
1613
 
1614
        // ASCII 158
1615
        1264 : data_o <= 8'hf8;
1616
        1265 : data_o <= 8'hcc;
1617
        1266 : data_o <= 8'hcc;
1618
        1267 : data_o <= 8'hfa;
1619
        1268 : data_o <= 8'hc6;
1620
        1269 : data_o <= 8'hcf;
1621
        1270 : data_o <= 8'hc6;
1622
        1271 : data_o <= 8'hc7;
1623
 
1624
        // ASCII 159
1625
        1272 : data_o <= 8'h0e;
1626
        1273 : data_o <= 8'h1b;
1627
        1274 : data_o <= 8'h18;
1628
        1275 : data_o <= 8'h3c;
1629
        1276 : data_o <= 8'h18;
1630
        1277 : data_o <= 8'hd8;
1631
        1278 : data_o <= 8'h70;
1632
        1279 : data_o <= 8'h00;
1633
 
1634
        // ASCII 160
1635
        1280 : data_o <= 8'h18;
1636
        1281 : data_o <= 8'h30;
1637
        1282 : data_o <= 8'h78;
1638
        1283 : data_o <= 8'h0c;
1639
        1284 : data_o <= 8'h7c;
1640
        1285 : data_o <= 8'hcc;
1641
        1286 : data_o <= 8'h76;
1642
        1287 : data_o <= 8'h00;
1643
 
1644
        // ASCII 161
1645
        1288 : data_o <= 8'h0c;
1646
        1289 : data_o <= 8'h18;
1647
        1290 : data_o <= 8'h00;
1648
        1291 : data_o <= 8'h38;
1649
        1292 : data_o <= 8'h18;
1650
        1293 : data_o <= 8'h18;
1651
        1294 : data_o <= 8'h3c;
1652
        1295 : data_o <= 8'h00;
1653
 
1654
        // ASCII 162
1655
        1296 : data_o <= 8'h0c;
1656
        1297 : data_o <= 8'h18;
1657
        1298 : data_o <= 8'h7c;
1658
        1299 : data_o <= 8'hc6;
1659
        1300 : data_o <= 8'hc6;
1660
        1301 : data_o <= 8'hc6;
1661
        1302 : data_o <= 8'h7c;
1662
        1303 : data_o <= 8'h00;
1663
 
1664
        // ASCII 163
1665
        1304 : data_o <= 8'h18;
1666
        1305 : data_o <= 8'h30;
1667
        1306 : data_o <= 8'hcc;
1668
        1307 : data_o <= 8'hcc;
1669
        1308 : data_o <= 8'hcc;
1670
        1309 : data_o <= 8'hcc;
1671
        1310 : data_o <= 8'h76;
1672
        1311 : data_o <= 8'h00;
1673
 
1674
        // ASCII 164
1675
        1312 : data_o <= 8'h76;
1676
        1313 : data_o <= 8'hdc;
1677
        1314 : data_o <= 8'h00;
1678
        1315 : data_o <= 8'hdc;
1679
        1316 : data_o <= 8'h66;
1680
        1317 : data_o <= 8'h66;
1681
        1318 : data_o <= 8'h66;
1682
        1319 : data_o <= 8'h00;
1683
 
1684
        // ASCII 165
1685
        1320 : data_o <= 8'h76;
1686
        1321 : data_o <= 8'hdc;
1687
        1322 : data_o <= 8'h00;
1688
        1323 : data_o <= 8'he6;
1689
        1324 : data_o <= 8'hf6;
1690
        1325 : data_o <= 8'hde;
1691
        1326 : data_o <= 8'hce;
1692
        1327 : data_o <= 8'h00;
1693
 
1694
        // ASCII 166
1695
        1328 : data_o <= 8'h3c;
1696
        1329 : data_o <= 8'h6c;
1697
        1330 : data_o <= 8'h6c;
1698
        1331 : data_o <= 8'h3e;
1699
        1332 : data_o <= 8'h00;
1700
        1333 : data_o <= 8'h7e;
1701
        1334 : data_o <= 8'h00;
1702
        1335 : data_o <= 8'h00;
1703
 
1704
        // ASCII 167
1705
        1336 : data_o <= 8'h38;
1706
        1337 : data_o <= 8'h6c;
1707
        1338 : data_o <= 8'h6c;
1708
        1339 : data_o <= 8'h38;
1709
        1340 : data_o <= 8'h00;
1710
        1341 : data_o <= 8'h7c;
1711
        1342 : data_o <= 8'h00;
1712
        1343 : data_o <= 8'h00;
1713
 
1714
        // ASCII 168
1715
        1344 : data_o <= 8'h18;
1716
        1345 : data_o <= 8'h00;
1717
        1346 : data_o <= 8'h18;
1718
        1347 : data_o <= 8'h18;
1719
        1348 : data_o <= 8'h30;
1720
        1349 : data_o <= 8'h63;
1721
        1350 : data_o <= 8'h3e;
1722
        1351 : data_o <= 8'h00;
1723
 
1724
        // ASCII 169
1725
        1352 : data_o <= 8'h00;
1726
        1353 : data_o <= 8'h00;
1727
        1354 : data_o <= 8'h00;
1728
        1355 : data_o <= 8'hfe;
1729
        1356 : data_o <= 8'hc0;
1730
        1357 : data_o <= 8'hc0;
1731
        1358 : data_o <= 8'h00;
1732
        1359 : data_o <= 8'h00;
1733
 
1734
        // ASCII 170
1735
        1360 : data_o <= 8'h00;
1736
        1361 : data_o <= 8'h00;
1737
        1362 : data_o <= 8'h00;
1738
        1363 : data_o <= 8'hfe;
1739
        1364 : data_o <= 8'h06;
1740
        1365 : data_o <= 8'h06;
1741
        1366 : data_o <= 8'h00;
1742
        1367 : data_o <= 8'h00;
1743
 
1744
        // ASCII 171
1745
        1368 : data_o <= 8'h63;
1746
        1369 : data_o <= 8'he6;
1747
        1370 : data_o <= 8'h6c;
1748
        1371 : data_o <= 8'h7e;
1749
        1372 : data_o <= 8'h33;
1750
        1373 : data_o <= 8'h66;
1751
        1374 : data_o <= 8'hcc;
1752
        1375 : data_o <= 8'h0f;
1753
 
1754
        // ASCII 172
1755
        1376 : data_o <= 8'h63;
1756
        1377 : data_o <= 8'he6;
1757
        1378 : data_o <= 8'h6c;
1758
        1379 : data_o <= 8'h7a;
1759
        1380 : data_o <= 8'h36;
1760
        1381 : data_o <= 8'h6a;
1761
        1382 : data_o <= 8'hdf;
1762
        1383 : data_o <= 8'h06;
1763
 
1764
        // ASCII 173
1765
        1384 : data_o <= 8'h18;
1766
        1385 : data_o <= 8'h00;
1767
        1386 : data_o <= 8'h18;
1768
        1387 : data_o <= 8'h18;
1769
        1388 : data_o <= 8'h3c;
1770
        1389 : data_o <= 8'h3c;
1771
        1390 : data_o <= 8'h18;
1772
        1391 : data_o <= 8'h00;
1773
 
1774
        // ASCII 174
1775
        1392 : data_o <= 8'h00;
1776
        1393 : data_o <= 8'h33;
1777
        1394 : data_o <= 8'h66;
1778
        1395 : data_o <= 8'hcc;
1779
        1396 : data_o <= 8'h66;
1780
        1397 : data_o <= 8'h33;
1781
        1398 : data_o <= 8'h00;
1782
        1399 : data_o <= 8'h00;
1783
 
1784
        // ASCII 175
1785
        1400 : data_o <= 8'h00;
1786
        1401 : data_o <= 8'hcc;
1787
        1402 : data_o <= 8'h66;
1788
        1403 : data_o <= 8'h33;
1789
        1404 : data_o <= 8'h66;
1790
        1405 : data_o <= 8'hcc;
1791
        1406 : data_o <= 8'h00;
1792
        1407 : data_o <= 8'h00;
1793
 
1794
        // ASCII 176
1795
        1408 : data_o <= 8'h22;
1796
        1409 : data_o <= 8'h88;
1797
        1410 : data_o <= 8'h22;
1798
        1411 : data_o <= 8'h88;
1799
        1412 : data_o <= 8'h22;
1800
        1413 : data_o <= 8'h88;
1801
        1414 : data_o <= 8'h22;
1802
        1415 : data_o <= 8'h88;
1803
 
1804
        // ASCII 177
1805
        1416 : data_o <= 8'h55;
1806
        1417 : data_o <= 8'haa;
1807
        1418 : data_o <= 8'h55;
1808
        1419 : data_o <= 8'haa;
1809
        1420 : data_o <= 8'h55;
1810
        1421 : data_o <= 8'haa;
1811
        1422 : data_o <= 8'h55;
1812
        1423 : data_o <= 8'haa;
1813
 
1814
        // ASCII 178
1815
        1424 : data_o <= 8'h77;
1816
        1425 : data_o <= 8'hdd;
1817
        1426 : data_o <= 8'h77;
1818
        1427 : data_o <= 8'hdd;
1819
        1428 : data_o <= 8'h77;
1820
        1429 : data_o <= 8'hdd;
1821
        1430 : data_o <= 8'h77;
1822
        1431 : data_o <= 8'hdd;
1823
 
1824
        // ASCII 179
1825
        1432 : data_o <= 8'h18;
1826
        1433 : data_o <= 8'h18;
1827
        1434 : data_o <= 8'h18;
1828
        1435 : data_o <= 8'h18;
1829
        1436 : data_o <= 8'h18;
1830
        1437 : data_o <= 8'h18;
1831
        1438 : data_o <= 8'h18;
1832
        1439 : data_o <= 8'h18;
1833
 
1834
        // ASCII 180
1835
        1440 : data_o <= 8'h18;
1836
        1441 : data_o <= 8'h18;
1837
        1442 : data_o <= 8'h18;
1838
        1443 : data_o <= 8'h18;
1839
        1444 : data_o <= 8'hf8;
1840
        1445 : data_o <= 8'h18;
1841
        1446 : data_o <= 8'h18;
1842
        1447 : data_o <= 8'h18;
1843
 
1844
        // ASCII 181
1845
        1448 : data_o <= 8'h18;
1846
        1449 : data_o <= 8'h18;
1847
        1450 : data_o <= 8'hf8;
1848
        1451 : data_o <= 8'h18;
1849
        1452 : data_o <= 8'hf8;
1850
        1453 : data_o <= 8'h18;
1851
        1454 : data_o <= 8'h18;
1852
        1455 : data_o <= 8'h18;
1853
 
1854
        // ASCII 182
1855
        1456 : data_o <= 8'h36;
1856
        1457 : data_o <= 8'h36;
1857
        1458 : data_o <= 8'h36;
1858
        1459 : data_o <= 8'h36;
1859
        1460 : data_o <= 8'hf6;
1860
        1461 : data_o <= 8'h36;
1861
        1462 : data_o <= 8'h36;
1862
        1463 : data_o <= 8'h36;
1863
 
1864
        // ASCII 183
1865
        1464 : data_o <= 8'h00;
1866
        1465 : data_o <= 8'h00;
1867
        1466 : data_o <= 8'h00;
1868
        1467 : data_o <= 8'h00;
1869
        1468 : data_o <= 8'hfe;
1870
        1469 : data_o <= 8'h36;
1871
        1470 : data_o <= 8'h36;
1872
        1471 : data_o <= 8'h36;
1873
 
1874
        // ASCII 184
1875
        1472 : data_o <= 8'h00;
1876
        1473 : data_o <= 8'h00;
1877
        1474 : data_o <= 8'hf8;
1878
        1475 : data_o <= 8'h18;
1879
        1476 : data_o <= 8'hf8;
1880
        1477 : data_o <= 8'h18;
1881
        1478 : data_o <= 8'h18;
1882
        1479 : data_o <= 8'h18;
1883
 
1884
        // ASCII 185
1885
        1480 : data_o <= 8'h36;
1886
        1481 : data_o <= 8'h36;
1887
        1482 : data_o <= 8'hf6;
1888
        1483 : data_o <= 8'h06;
1889
        1484 : data_o <= 8'hf6;
1890
        1485 : data_o <= 8'h36;
1891
        1486 : data_o <= 8'h36;
1892
        1487 : data_o <= 8'h36;
1893
 
1894
        // ASCII 186
1895
        1488 : data_o <= 8'h36;
1896
        1489 : data_o <= 8'h36;
1897
        1490 : data_o <= 8'h36;
1898
        1491 : data_o <= 8'h36;
1899
        1492 : data_o <= 8'h36;
1900
        1493 : data_o <= 8'h36;
1901
        1494 : data_o <= 8'h36;
1902
        1495 : data_o <= 8'h36;
1903
 
1904
        // ASCII 187
1905
        1496 : data_o <= 8'h00;
1906
        1497 : data_o <= 8'h00;
1907
        1498 : data_o <= 8'hfe;
1908
        1499 : data_o <= 8'h06;
1909
        1500 : data_o <= 8'hf6;
1910
        1501 : data_o <= 8'h36;
1911
        1502 : data_o <= 8'h36;
1912
        1503 : data_o <= 8'h36;
1913
 
1914
        // ASCII 188
1915
        1504 : data_o <= 8'h36;
1916
        1505 : data_o <= 8'h36;
1917
        1506 : data_o <= 8'hf6;
1918
        1507 : data_o <= 8'h06;
1919
        1508 : data_o <= 8'hfe;
1920
        1509 : data_o <= 8'h00;
1921
        1510 : data_o <= 8'h00;
1922
        1511 : data_o <= 8'h00;
1923
 
1924
        // ASCII 189
1925
        1512 : data_o <= 8'h36;
1926
        1513 : data_o <= 8'h36;
1927
        1514 : data_o <= 8'h36;
1928
        1515 : data_o <= 8'h36;
1929
        1516 : data_o <= 8'hfe;
1930
        1517 : data_o <= 8'h00;
1931
        1518 : data_o <= 8'h00;
1932
        1519 : data_o <= 8'h00;
1933
 
1934
        // ASCII 190
1935
        1520 : data_o <= 8'h18;
1936
        1521 : data_o <= 8'h18;
1937
        1522 : data_o <= 8'hf8;
1938
        1523 : data_o <= 8'h18;
1939
        1524 : data_o <= 8'hf8;
1940
        1525 : data_o <= 8'h00;
1941
        1526 : data_o <= 8'h00;
1942
        1527 : data_o <= 8'h00;
1943
 
1944
        // ASCII 191
1945
        1528 : data_o <= 8'h00;
1946
        1529 : data_o <= 8'h00;
1947
        1530 : data_o <= 8'h00;
1948
        1531 : data_o <= 8'h00;
1949
        1532 : data_o <= 8'hf8;
1950
        1533 : data_o <= 8'h18;
1951
        1534 : data_o <= 8'h18;
1952
        1535 : data_o <= 8'h18;
1953
 
1954
        // ASCII 192
1955
        1536 : data_o <= 8'h18;
1956
        1537 : data_o <= 8'h18;
1957
        1538 : data_o <= 8'h18;
1958
        1539 : data_o <= 8'h18;
1959
        1540 : data_o <= 8'h1f;
1960
        1541 : data_o <= 8'h00;
1961
        1542 : data_o <= 8'h00;
1962
        1543 : data_o <= 8'h00;
1963
 
1964
        // ASCII 193
1965
        1544 : data_o <= 8'h18;
1966
        1545 : data_o <= 8'h18;
1967
        1546 : data_o <= 8'h18;
1968
        1547 : data_o <= 8'h18;
1969
        1548 : data_o <= 8'hff;
1970
        1549 : data_o <= 8'h00;
1971
        1550 : data_o <= 8'h00;
1972
        1551 : data_o <= 8'h00;
1973
 
1974
        // ASCII 194
1975
        1552 : data_o <= 8'h00;
1976
        1553 : data_o <= 8'h00;
1977
        1554 : data_o <= 8'h00;
1978
        1555 : data_o <= 8'h00;
1979
        1556 : data_o <= 8'hff;
1980
        1557 : data_o <= 8'h18;
1981
        1558 : data_o <= 8'h18;
1982
        1559 : data_o <= 8'h18;
1983
 
1984
        // ASCII 195
1985
        1560 : data_o <= 8'h18;
1986
        1561 : data_o <= 8'h18;
1987
        1562 : data_o <= 8'h18;
1988
        1563 : data_o <= 8'h18;
1989
        1564 : data_o <= 8'h1f;
1990
        1565 : data_o <= 8'h18;
1991
        1566 : data_o <= 8'h18;
1992
        1567 : data_o <= 8'h18;
1993
 
1994
        // ASCII 196
1995
        1568 : data_o <= 8'h00;
1996
        1569 : data_o <= 8'h00;
1997
        1570 : data_o <= 8'h00;
1998
        1571 : data_o <= 8'h00;
1999
        1572 : data_o <= 8'hff;
2000
        1573 : data_o <= 8'h00;
2001
        1574 : data_o <= 8'h00;
2002
        1575 : data_o <= 8'h00;
2003
 
2004
        // ASCII 197
2005
        1576 : data_o <= 8'h18;
2006
        1577 : data_o <= 8'h18;
2007
        1578 : data_o <= 8'h18;
2008
        1579 : data_o <= 8'h18;
2009
        1580 : data_o <= 8'hff;
2010
        1581 : data_o <= 8'h18;
2011
        1582 : data_o <= 8'h18;
2012
        1583 : data_o <= 8'h18;
2013
 
2014
        // ASCII 198
2015
        1584 : data_o <= 8'h18;
2016
        1585 : data_o <= 8'h18;
2017
        1586 : data_o <= 8'h1f;
2018
        1587 : data_o <= 8'h18;
2019
        1588 : data_o <= 8'h1f;
2020
        1589 : data_o <= 8'h18;
2021
        1590 : data_o <= 8'h18;
2022
        1591 : data_o <= 8'h18;
2023
 
2024
        // ASCII 199
2025
        1592 : data_o <= 8'h36;
2026
        1593 : data_o <= 8'h36;
2027
        1594 : data_o <= 8'h36;
2028
        1595 : data_o <= 8'h36;
2029
        1596 : data_o <= 8'h37;
2030
        1597 : data_o <= 8'h36;
2031
        1598 : data_o <= 8'h36;
2032
        1599 : data_o <= 8'h36;
2033
 
2034
        // ASCII 200
2035
        1600 : data_o <= 8'h36;
2036
        1601 : data_o <= 8'h36;
2037
        1602 : data_o <= 8'h37;
2038
        1603 : data_o <= 8'h30;
2039
        1604 : data_o <= 8'h3f;
2040
        1605 : data_o <= 8'h00;
2041
        1606 : data_o <= 8'h00;
2042
        1607 : data_o <= 8'h00;
2043
 
2044
        // ASCII 201
2045
        1608 : data_o <= 8'h00;
2046
        1609 : data_o <= 8'h00;
2047
        1610 : data_o <= 8'h3f;
2048
        1611 : data_o <= 8'h30;
2049
        1612 : data_o <= 8'h37;
2050
        1613 : data_o <= 8'h36;
2051
        1614 : data_o <= 8'h36;
2052
        1615 : data_o <= 8'h36;
2053
 
2054
        // ASCII 202
2055
        1616 : data_o <= 8'h36;
2056
        1617 : data_o <= 8'h36;
2057
        1618 : data_o <= 8'hf7;
2058
        1619 : data_o <= 8'h00;
2059
        1620 : data_o <= 8'hff;
2060
        1621 : data_o <= 8'h00;
2061
        1622 : data_o <= 8'h00;
2062
        1623 : data_o <= 8'h00;
2063
 
2064
        // ASCII 203
2065
        1624 : data_o <= 8'h00;
2066
        1625 : data_o <= 8'h00;
2067
        1626 : data_o <= 8'hff;
2068
        1627 : data_o <= 8'h00;
2069
        1628 : data_o <= 8'hf7;
2070
        1629 : data_o <= 8'h36;
2071
        1630 : data_o <= 8'h36;
2072
        1631 : data_o <= 8'h36;
2073
 
2074
        // ASCII 204
2075
        1632 : data_o <= 8'h36;
2076
        1633 : data_o <= 8'h36;
2077
        1634 : data_o <= 8'h37;
2078
        1635 : data_o <= 8'h30;
2079
        1636 : data_o <= 8'h37;
2080
        1637 : data_o <= 8'h36;
2081
        1638 : data_o <= 8'h36;
2082
        1639 : data_o <= 8'h36;
2083
 
2084
        // ASCII 205
2085
        1640 : data_o <= 8'h00;
2086
        1641 : data_o <= 8'h00;
2087
        1642 : data_o <= 8'hff;
2088
        1643 : data_o <= 8'h00;
2089
        1644 : data_o <= 8'hff;
2090
        1645 : data_o <= 8'h00;
2091
        1646 : data_o <= 8'h00;
2092
        1647 : data_o <= 8'h00;
2093
 
2094
        // ASCII 206
2095
        1648 : data_o <= 8'h36;
2096
        1649 : data_o <= 8'h36;
2097
        1650 : data_o <= 8'hf7;
2098
        1651 : data_o <= 8'h00;
2099
        1652 : data_o <= 8'hf7;
2100
        1653 : data_o <= 8'h36;
2101
        1654 : data_o <= 8'h36;
2102
        1655 : data_o <= 8'h36;
2103
 
2104
        // ASCII 207
2105
        1656 : data_o <= 8'h18;
2106
        1657 : data_o <= 8'h18;
2107
        1658 : data_o <= 8'hff;
2108
        1659 : data_o <= 8'h00;
2109
        1660 : data_o <= 8'hff;
2110
        1661 : data_o <= 8'h00;
2111
        1662 : data_o <= 8'h00;
2112
        1663 : data_o <= 8'h00;
2113
 
2114
        // ASCII 208
2115
        1664 : data_o <= 8'h36;
2116
        1665 : data_o <= 8'h36;
2117
        1666 : data_o <= 8'h36;
2118
        1667 : data_o <= 8'h36;
2119
        1668 : data_o <= 8'hff;
2120
        1669 : data_o <= 8'h00;
2121
        1670 : data_o <= 8'h00;
2122
        1671 : data_o <= 8'h00;
2123
 
2124
        // ASCII 209
2125
        1672 : data_o <= 8'h00;
2126
        1673 : data_o <= 8'h00;
2127
        1674 : data_o <= 8'hff;
2128
        1675 : data_o <= 8'h00;
2129
        1676 : data_o <= 8'hff;
2130
        1677 : data_o <= 8'h18;
2131
        1678 : data_o <= 8'h18;
2132
        1679 : data_o <= 8'h18;
2133
 
2134
        // ASCII 210
2135
        1680 : data_o <= 8'h00;
2136
        1681 : data_o <= 8'h00;
2137
        1682 : data_o <= 8'h00;
2138
        1683 : data_o <= 8'h00;
2139
        1684 : data_o <= 8'hff;
2140
        1685 : data_o <= 8'h36;
2141
        1686 : data_o <= 8'h36;
2142
        1687 : data_o <= 8'h36;
2143
 
2144
        // ASCII 211
2145
        1688 : data_o <= 8'h36;
2146
        1689 : data_o <= 8'h36;
2147
        1690 : data_o <= 8'h36;
2148
        1691 : data_o <= 8'h36;
2149
        1692 : data_o <= 8'h3f;
2150
        1693 : data_o <= 8'h00;
2151
        1694 : data_o <= 8'h00;
2152
        1695 : data_o <= 8'h00;
2153
 
2154
        // ASCII 212
2155
        1696 : data_o <= 8'h18;
2156
        1697 : data_o <= 8'h18;
2157
        1698 : data_o <= 8'h1f;
2158
        1699 : data_o <= 8'h18;
2159
        1700 : data_o <= 8'h1f;
2160
        1701 : data_o <= 8'h00;
2161
        1702 : data_o <= 8'h00;
2162
        1703 : data_o <= 8'h00;
2163
 
2164
        // ASCII 213
2165
        1704 : data_o <= 8'h00;
2166
        1705 : data_o <= 8'h00;
2167
        1706 : data_o <= 8'h1f;
2168
        1707 : data_o <= 8'h18;
2169
        1708 : data_o <= 8'h1f;
2170
        1709 : data_o <= 8'h18;
2171
        1710 : data_o <= 8'h18;
2172
        1711 : data_o <= 8'h18;
2173
 
2174
        // ASCII 214
2175
        1712 : data_o <= 8'h00;
2176
        1713 : data_o <= 8'h00;
2177
        1714 : data_o <= 8'h00;
2178
        1715 : data_o <= 8'h00;
2179
        1716 : data_o <= 8'h3f;
2180
        1717 : data_o <= 8'h36;
2181
        1718 : data_o <= 8'h36;
2182
        1719 : data_o <= 8'h36;
2183
 
2184
        // ASCII 215
2185
        1720 : data_o <= 8'h36;
2186
        1721 : data_o <= 8'h36;
2187
        1722 : data_o <= 8'h36;
2188
        1723 : data_o <= 8'h36;
2189
        1724 : data_o <= 8'hff;
2190
        1725 : data_o <= 8'h36;
2191
        1726 : data_o <= 8'h36;
2192
        1727 : data_o <= 8'h36;
2193
 
2194
        // ASCII 216
2195
        1728 : data_o <= 8'h18;
2196
        1729 : data_o <= 8'h18;
2197
        1730 : data_o <= 8'hff;
2198
        1731 : data_o <= 8'h18;
2199
        1732 : data_o <= 8'hff;
2200
        1733 : data_o <= 8'h18;
2201
        1734 : data_o <= 8'h18;
2202
        1735 : data_o <= 8'h18;
2203
 
2204
        // ASCII 217
2205
        1736 : data_o <= 8'h18;
2206
        1737 : data_o <= 8'h18;
2207
        1738 : data_o <= 8'h18;
2208
        1739 : data_o <= 8'h18;
2209
        1740 : data_o <= 8'hf8;
2210
        1741 : data_o <= 8'h00;
2211
        1742 : data_o <= 8'h00;
2212
        1743 : data_o <= 8'h00;
2213
 
2214
        // ASCII 218
2215
        1744 : data_o <= 8'h00;
2216
        1745 : data_o <= 8'h00;
2217
        1746 : data_o <= 8'h00;
2218
        1747 : data_o <= 8'h00;
2219
        1748 : data_o <= 8'h1f;
2220
        1749 : data_o <= 8'h18;
2221
        1750 : data_o <= 8'h18;
2222
        1751 : data_o <= 8'h18;
2223
 
2224
        // ASCII 219
2225
        1752 : data_o <= 8'hff;
2226
        1753 : data_o <= 8'hff;
2227
        1754 : data_o <= 8'hff;
2228
        1755 : data_o <= 8'hff;
2229
        1756 : data_o <= 8'hff;
2230
        1757 : data_o <= 8'hff;
2231
        1758 : data_o <= 8'hff;
2232
        1759 : data_o <= 8'hff;
2233
 
2234
        // ASCII 220
2235
        1760 : data_o <= 8'h00;
2236
        1761 : data_o <= 8'h00;
2237
        1762 : data_o <= 8'h00;
2238
        1763 : data_o <= 8'h00;
2239
        1764 : data_o <= 8'hff;
2240
        1765 : data_o <= 8'hff;
2241
        1766 : data_o <= 8'hff;
2242
        1767 : data_o <= 8'hff;
2243
 
2244
        // ASCII 221
2245
        1768 : data_o <= 8'hf0;
2246
        1769 : data_o <= 8'hf0;
2247
        1770 : data_o <= 8'hf0;
2248
        1771 : data_o <= 8'hf0;
2249
        1772 : data_o <= 8'hf0;
2250
        1773 : data_o <= 8'hf0;
2251
        1774 : data_o <= 8'hf0;
2252
        1775 : data_o <= 8'hf0;
2253
 
2254
        // ASCII 222
2255
        1776 : data_o <= 8'h0f;
2256
        1777 : data_o <= 8'h0f;
2257
        1778 : data_o <= 8'h0f;
2258
        1779 : data_o <= 8'h0f;
2259
        1780 : data_o <= 8'h0f;
2260
        1781 : data_o <= 8'h0f;
2261
        1782 : data_o <= 8'h0f;
2262
        1783 : data_o <= 8'h0f;
2263
 
2264
        // ASCII 223
2265
        1784 : data_o <= 8'hff;
2266
        1785 : data_o <= 8'hff;
2267
        1786 : data_o <= 8'hff;
2268
        1787 : data_o <= 8'hff;
2269
        1788 : data_o <= 8'h00;
2270
        1789 : data_o <= 8'h00;
2271
        1790 : data_o <= 8'h00;
2272
        1791 : data_o <= 8'h00;
2273
 
2274
        // ASCII 224
2275
        1792 : data_o <= 8'h00;
2276
        1793 : data_o <= 8'h00;
2277
        1794 : data_o <= 8'h76;
2278
        1795 : data_o <= 8'hdc;
2279
        1796 : data_o <= 8'hc8;
2280
        1797 : data_o <= 8'hdc;
2281
        1798 : data_o <= 8'h76;
2282
        1799 : data_o <= 8'h00;
2283
 
2284
        // ASCII 225
2285
        1800 : data_o <= 8'h78;
2286
        1801 : data_o <= 8'hcc;
2287
        1802 : data_o <= 8'hcc;
2288
        1803 : data_o <= 8'hd8;
2289
        1804 : data_o <= 8'hcc;
2290
        1805 : data_o <= 8'hc6;
2291
        1806 : data_o <= 8'hcc;
2292
        1807 : data_o <= 8'h00;
2293
 
2294
        // ASCII 226
2295
        1808 : data_o <= 8'hfe;
2296
        1809 : data_o <= 8'hc6;
2297
        1810 : data_o <= 8'hc0;
2298
        1811 : data_o <= 8'hc0;
2299
        1812 : data_o <= 8'hc0;
2300
        1813 : data_o <= 8'hc0;
2301
        1814 : data_o <= 8'hc0;
2302
        1815 : data_o <= 8'h00;
2303
 
2304
        // ASCII 227
2305
        1816 : data_o <= 8'h00;
2306
        1817 : data_o <= 8'h00;
2307
        1818 : data_o <= 8'hfe;
2308
        1819 : data_o <= 8'h6c;
2309
        1820 : data_o <= 8'h6c;
2310
        1821 : data_o <= 8'h6c;
2311
        1822 : data_o <= 8'h6c;
2312
        1823 : data_o <= 8'h00;
2313
 
2314
        // ASCII 228
2315
        1824 : data_o <= 8'hfe;
2316
        1825 : data_o <= 8'hc6;
2317
        1826 : data_o <= 8'h60;
2318
        1827 : data_o <= 8'h30;
2319
        1828 : data_o <= 8'h60;
2320
        1829 : data_o <= 8'hc6;
2321
        1830 : data_o <= 8'hfe;
2322
        1831 : data_o <= 8'h00;
2323
 
2324
        // ASCII 229
2325
        1832 : data_o <= 8'h00;
2326
        1833 : data_o <= 8'h00;
2327
        1834 : data_o <= 8'h7e;
2328
        1835 : data_o <= 8'hd8;
2329
        1836 : data_o <= 8'hd8;
2330
        1837 : data_o <= 8'hd8;
2331
        1838 : data_o <= 8'h70;
2332
        1839 : data_o <= 8'h00;
2333
 
2334
        // ASCII 230
2335
        1840 : data_o <= 8'h00;
2336
        1841 : data_o <= 8'h00;
2337
        1842 : data_o <= 8'h66;
2338
        1843 : data_o <= 8'h66;
2339
        1844 : data_o <= 8'h66;
2340
        1845 : data_o <= 8'h66;
2341
        1846 : data_o <= 8'h7c;
2342
        1847 : data_o <= 8'hc0;
2343
 
2344
        // ASCII 231
2345
        1848 : data_o <= 8'h00;
2346
        1849 : data_o <= 8'h76;
2347
        1850 : data_o <= 8'hdc;
2348
        1851 : data_o <= 8'h18;
2349
        1852 : data_o <= 8'h18;
2350
        1853 : data_o <= 8'h18;
2351
        1854 : data_o <= 8'h18;
2352
        1855 : data_o <= 8'h00;
2353
 
2354
        // ASCII 232
2355
        1856 : data_o <= 8'h7e;
2356
        1857 : data_o <= 8'h18;
2357
        1858 : data_o <= 8'h3c;
2358
        1859 : data_o <= 8'h66;
2359
        1860 : data_o <= 8'h66;
2360
        1861 : data_o <= 8'h3c;
2361
        1862 : data_o <= 8'h18;
2362
        1863 : data_o <= 8'h7e;
2363
 
2364
        // ASCII 233
2365
        1864 : data_o <= 8'h38;
2366
        1865 : data_o <= 8'h6c;
2367
        1866 : data_o <= 8'hc6;
2368
        1867 : data_o <= 8'hfe;
2369
        1868 : data_o <= 8'hc6;
2370
        1869 : data_o <= 8'h6c;
2371
        1870 : data_o <= 8'h38;
2372
        1871 : data_o <= 8'h00;
2373
 
2374
        // ASCII 234
2375
        1872 : data_o <= 8'h38;
2376
        1873 : data_o <= 8'h6c;
2377
        1874 : data_o <= 8'hc6;
2378
        1875 : data_o <= 8'hc6;
2379
        1876 : data_o <= 8'h6c;
2380
        1877 : data_o <= 8'h6c;
2381
        1878 : data_o <= 8'hee;
2382
        1879 : data_o <= 8'h00;
2383
 
2384
        // ASCII 235
2385
        1880 : data_o <= 8'h0e;
2386
        1881 : data_o <= 8'h18;
2387
        1882 : data_o <= 8'h0c;
2388
        1883 : data_o <= 8'h3e;
2389
        1884 : data_o <= 8'h66;
2390
        1885 : data_o <= 8'h66;
2391
        1886 : data_o <= 8'h3c;
2392
        1887 : data_o <= 8'h00;
2393
 
2394
        // ASCII 236
2395
        1888 : data_o <= 8'h00;
2396
        1889 : data_o <= 8'h00;
2397
        1890 : data_o <= 8'h7e;
2398
        1891 : data_o <= 8'hdb;
2399
        1892 : data_o <= 8'hdb;
2400
        1893 : data_o <= 8'h7e;
2401
        1894 : data_o <= 8'h00;
2402
        1895 : data_o <= 8'h00;
2403
 
2404
        // ASCII 237
2405
        1896 : data_o <= 8'h06;
2406
        1897 : data_o <= 8'h0c;
2407
        1898 : data_o <= 8'h7e;
2408
        1899 : data_o <= 8'hdb;
2409
        1900 : data_o <= 8'hdb;
2410
        1901 : data_o <= 8'h7e;
2411
        1902 : data_o <= 8'h60;
2412
        1903 : data_o <= 8'hc0;
2413
 
2414
        // ASCII 238
2415
        1904 : data_o <= 8'h1e;
2416
        1905 : data_o <= 8'h30;
2417
        1906 : data_o <= 8'h60;
2418
        1907 : data_o <= 8'h7e;
2419
        1908 : data_o <= 8'h60;
2420
        1909 : data_o <= 8'h30;
2421
        1910 : data_o <= 8'h1e;
2422
        1911 : data_o <= 8'h00;
2423
 
2424
        // ASCII 239
2425
        1912 : data_o <= 8'h00;
2426
        1913 : data_o <= 8'h7c;
2427
        1914 : data_o <= 8'hc6;
2428
        1915 : data_o <= 8'hc6;
2429
        1916 : data_o <= 8'hc6;
2430
        1917 : data_o <= 8'hc6;
2431
        1918 : data_o <= 8'hc6;
2432
        1919 : data_o <= 8'h00;
2433
 
2434
        // ASCII 240
2435
        1920 : data_o <= 8'h00;
2436
        1921 : data_o <= 8'hfe;
2437
        1922 : data_o <= 8'h00;
2438
        1923 : data_o <= 8'hfe;
2439
        1924 : data_o <= 8'h00;
2440
        1925 : data_o <= 8'hfe;
2441
        1926 : data_o <= 8'h00;
2442
        1927 : data_o <= 8'h00;
2443
 
2444
        // ASCII 241
2445
        1928 : data_o <= 8'h18;
2446
        1929 : data_o <= 8'h18;
2447
        1930 : data_o <= 8'h7e;
2448
        1931 : data_o <= 8'h18;
2449
        1932 : data_o <= 8'h18;
2450
        1933 : data_o <= 8'h00;
2451
        1934 : data_o <= 8'h7e;
2452
        1935 : data_o <= 8'h00;
2453
 
2454
        // ASCII 242
2455
        1936 : data_o <= 8'h30;
2456
        1937 : data_o <= 8'h18;
2457
        1938 : data_o <= 8'h0c;
2458
        1939 : data_o <= 8'h18;
2459
        1940 : data_o <= 8'h30;
2460
        1941 : data_o <= 8'h00;
2461
        1942 : data_o <= 8'h7e;
2462
        1943 : data_o <= 8'h00;
2463
 
2464
        // ASCII 243
2465
        1944 : data_o <= 8'h0c;
2466
        1945 : data_o <= 8'h18;
2467
        1946 : data_o <= 8'h30;
2468
        1947 : data_o <= 8'h18;
2469
        1948 : data_o <= 8'h0c;
2470
        1949 : data_o <= 8'h00;
2471
        1950 : data_o <= 8'h7e;
2472
        1951 : data_o <= 8'h00;
2473
 
2474
        // ASCII 244
2475
        1952 : data_o <= 8'h0e;
2476
        1953 : data_o <= 8'h1b;
2477
        1954 : data_o <= 8'h1b;
2478
        1955 : data_o <= 8'h18;
2479
        1956 : data_o <= 8'h18;
2480
        1957 : data_o <= 8'h18;
2481
        1958 : data_o <= 8'h18;
2482
        1959 : data_o <= 8'h18;
2483
 
2484
        // ASCII 245
2485
        1960 : data_o <= 8'h18;
2486
        1961 : data_o <= 8'h18;
2487
        1962 : data_o <= 8'h18;
2488
        1963 : data_o <= 8'h18;
2489
        1964 : data_o <= 8'h18;
2490
        1965 : data_o <= 8'hd8;
2491
        1966 : data_o <= 8'hd8;
2492
        1967 : data_o <= 8'h70;
2493
 
2494
        // ASCII 246
2495
        1968 : data_o <= 8'h00;
2496
        1969 : data_o <= 8'h18;
2497
        1970 : data_o <= 8'h00;
2498
        1971 : data_o <= 8'h7e;
2499
        1972 : data_o <= 8'h00;
2500
        1973 : data_o <= 8'h18;
2501
        1974 : data_o <= 8'h00;
2502
        1975 : data_o <= 8'h00;
2503
 
2504
        // ASCII 247
2505
        1976 : data_o <= 8'h00;
2506
        1977 : data_o <= 8'h76;
2507
        1978 : data_o <= 8'hdc;
2508
        1979 : data_o <= 8'h00;
2509
        1980 : data_o <= 8'h76;
2510
        1981 : data_o <= 8'hdc;
2511
        1982 : data_o <= 8'h00;
2512
        1983 : data_o <= 8'h00;
2513
 
2514
        // ASCII 248
2515
        1984 : data_o <= 8'h38;
2516
        1985 : data_o <= 8'h6c;
2517
        1986 : data_o <= 8'h6c;
2518
        1987 : data_o <= 8'h38;
2519
        1988 : data_o <= 8'h00;
2520
        1989 : data_o <= 8'h00;
2521
        1990 : data_o <= 8'h00;
2522
        1991 : data_o <= 8'h00;
2523
 
2524
        // ASCII 249
2525
        1992 : data_o <= 8'h00;
2526
        1993 : data_o <= 8'h00;
2527
        1994 : data_o <= 8'h00;
2528
        1995 : data_o <= 8'h18;
2529
        1996 : data_o <= 8'h18;
2530
        1997 : data_o <= 8'h00;
2531
        1998 : data_o <= 8'h00;
2532
        1999 : data_o <= 8'h00;
2533
 
2534
        // ASCII 250
2535
        2000 : data_o <= 8'h00;
2536
        2001 : data_o <= 8'h00;
2537
        2002 : data_o <= 8'h00;
2538
        2003 : data_o <= 8'h18;
2539
        2004 : data_o <= 8'h00;
2540
        2005 : data_o <= 8'h00;
2541
        2006 : data_o <= 8'h00;
2542
        2007 : data_o <= 8'h00;
2543
 
2544
        // ASCII 251
2545
        2008 : data_o <= 8'h0f;
2546
        2009 : data_o <= 8'h0c;
2547
        2010 : data_o <= 8'h0c;
2548
        2011 : data_o <= 8'h0c;
2549
        2012 : data_o <= 8'hec;
2550
        2013 : data_o <= 8'h6c;
2551
        2014 : data_o <= 8'h3c;
2552
        2015 : data_o <= 8'h1c;
2553
 
2554
        // ASCII 252
2555
        2016 : data_o <= 8'h6c;
2556
        2017 : data_o <= 8'h36;
2557
        2018 : data_o <= 8'h36;
2558
        2019 : data_o <= 8'h36;
2559
        2020 : data_o <= 8'h36;
2560
        2021 : data_o <= 8'h00;
2561
        2022 : data_o <= 8'h00;
2562
        2023 : data_o <= 8'h00;
2563
 
2564
        // ASCII 253
2565
        2024 : data_o <= 8'h78;
2566
        2025 : data_o <= 8'h0c;
2567
        2026 : data_o <= 8'h18;
2568
        2027 : data_o <= 8'h30;
2569
        2028 : data_o <= 8'h7c;
2570
        2029 : data_o <= 8'h00;
2571
        2030 : data_o <= 8'h00;
2572
        2031 : data_o <= 8'h00;
2573
 
2574
        // ASCII 254
2575
        2032 : data_o <= 8'h00;
2576
        2033 : data_o <= 8'h00;
2577
        2034 : data_o <= 8'h3c;
2578
        2035 : data_o <= 8'h3c;
2579
        2036 : data_o <= 8'h3c;
2580
        2037 : data_o <= 8'h3c;
2581
        2038 : data_o <= 8'h00;
2582
        2039 : data_o <= 8'h00;
2583
 
2584
        // ASCII 255
2585
        2040 : data_o <= 8'h00;
2586
        2041 : data_o <= 8'h00;
2587
        2042 : data_o <= 8'h00;
2588
        2043 : data_o <= 8'h00;
2589
        2044 : data_o <= 8'h00;
2590
        2045 : data_o <= 8'h00;
2591
        2046 : data_o <= 8'h00;
2592
        2047 : data_o <= 8'h00;
2593
 
2594
      endcase
2595
    end
2596
  end
2597
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.