OpenCores
URL https://opencores.org/ocsvn/minsoc/minsoc/trunk

Subversion Repositories minsoc

[/] [minsoc/] [trunk/] [rtl/] [verilog/] [altera_pll.v] - Blame information for rev 62

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 52 javieralso
 
2 62 rfajardo
`include "minsoc_defines.v"
3 52 javieralso
 
4 62 rfajardo
module altera_pll (
5 52 javieralso
        inclk0,
6
        c0);
7
 
8
        parameter FREQ_MULT = 1;
9
        parameter FREQ_DIV = 1;
10
 
11
        input     inclk0;
12
        output    c0;
13
 
14 62 rfajardo
 
15
`ifdef ARRIA_GX
16
    localparam FAMILY = "Arria GX";
17
`elsif ARRIA_II_GX
18
    localparam FAMILY = "Arria II GX";
19
`elsif CYCLONE_I
20
    localparam FAMILY = "Cyclone I";
21
`elsif CYCLONE_II
22
    localparam FAMILY = "Cyclone II";
23
`elsif CYCLONE_III
24
    localparam FAMILY = "Cyclone III";
25
`elsif CYCLONE_III_LS
26
    localparam FAMILY = "Cyclone III LS";
27
`elsif CYCLONE_IV_E
28
    localparam FAMILY = "Cyclone IV E";
29
`elsif CYCLONE_IV_GS
30
    localparam FAMILY = "Cyclone IV GS";
31
`elsif MAX_II
32
    localparam FAMILY = "MAX II";
33
`elsif MAX_V
34
    localparam FAMILY = "MAX V";
35
`elsif MAX3000A
36
    localparam FAMILY = "MAX3000A";
37
`elsif MAX7000AE
38
    localparam FAMILY = "MAX7000AE";
39
`elsif MAX7000B
40
    localparam FAMILY = "MAX7000B";
41
`elsif MAX7000S
42
    localparam FAMILY = "MAX7000S";
43
`elsif STRATIX
44
    localparam FAMILY = "Stratix";
45
`elsif STRATIX_II
46
    defapram systemPll.FAMILY = "Stratix II";
47
`elsif STRATIX_II_GX
48
    localparam FAMILY = "Stratix II GX";
49
`elsif STRATIX_III
50
    localparam FAMILY = "Stratix III"
51
`endif
52
 
53
 
54 52 javieralso
        wire [4:0] sub_wire0;
55
        wire [0:0] sub_wire4 = 1'h0;
56
        wire [0:0] sub_wire1 = sub_wire0[0:0];
57
        wire  c0 = sub_wire1;
58
        wire  sub_wire2 = inclk0;
59
        wire [1:0] sub_wire3 = {sub_wire4, sub_wire2};
60
 
61
        altpll  altpll_component (
62
                                .inclk (sub_wire3),
63
                                .clk (sub_wire0),
64
                                .activeclock (),
65
                                .areset (1'b0),
66
                                .clkbad (),
67
                                .clkena ({6{1'b1}}),
68
                                .clkloss (),
69
                                .clkswitch (1'b0),
70
                                .configupdate (1'b0),
71
                                .enable0 (),
72
                                .enable1 (),
73
                                .extclk (),
74
                                .extclkena ({4{1'b1}}),
75
                                .fbin (1'b1),
76
                                .fbmimicbidir (),
77
                                .fbout (),
78
                                .fref (),
79
                                .icdrclk (),
80
                                .locked (),
81
                                .pfdena (1'b1),
82
                                .phasecounterselect ({4{1'b1}}),
83
                                .phasedone (),
84
                                .phasestep (1'b1),
85
                                .phaseupdown (1'b1),
86
                                .pllena (1'b1),
87
                                .scanaclr (1'b0),
88
                                .scanclk (1'b0),
89
                                .scanclkena (1'b1),
90
                                .scandata (1'b0),
91
                                .scandataout (),
92
                                .scandone (),
93
                                .scanread (1'b0),
94
                                .scanwrite (1'b0),
95
                                .sclkout0 (),
96
                                .sclkout1 (),
97
                                .vcooverrange (),
98
                                .vcounderrange ());
99
        defparam
100
                altpll_component.bandwidth_type = "AUTO",
101
                altpll_component.clk0_divide_by = FREQ_DIV,
102
                altpll_component.clk0_duty_cycle = 50,
103
                altpll_component.clk0_multiply_by = FREQ_MULT,
104
                altpll_component.clk0_phase_shift = "0",
105
                altpll_component.compensate_clock = "CLK0",
106
                altpll_component.inclk0_input_frequency = 20000,
107 56 javieralso
                altpll_component.intended_device_family = FAMILY,
108 52 javieralso
                altpll_component.lpm_hint = "CBX_MODULE_PREFIX=minsocPll",
109
                altpll_component.lpm_type = "altpll",
110
                altpll_component.operation_mode = "NORMAL",
111
                altpll_component.pll_type = "AUTO",
112
                altpll_component.port_activeclock = "PORT_UNUSED",
113
                altpll_component.port_areset = "PORT_UNUSED",
114
                altpll_component.port_clkbad0 = "PORT_UNUSED",
115
                altpll_component.port_clkbad1 = "PORT_UNUSED",
116
                altpll_component.port_clkloss = "PORT_UNUSED",
117
                altpll_component.port_clkswitch = "PORT_UNUSED",
118
                altpll_component.port_configupdate = "PORT_UNUSED",
119
                altpll_component.port_fbin = "PORT_UNUSED",
120
                altpll_component.port_inclk0 = "PORT_USED",
121
                altpll_component.port_inclk1 = "PORT_UNUSED",
122
                altpll_component.port_locked = "PORT_UNUSED",
123
                altpll_component.port_pfdena = "PORT_UNUSED",
124
                altpll_component.port_phasecounterselect = "PORT_UNUSED",
125
                altpll_component.port_phasedone = "PORT_UNUSED",
126
                altpll_component.port_phasestep = "PORT_UNUSED",
127
                altpll_component.port_phaseupdown = "PORT_UNUSED",
128
                altpll_component.port_pllena = "PORT_UNUSED",
129
                altpll_component.port_scanaclr = "PORT_UNUSED",
130
                altpll_component.port_scanclk = "PORT_UNUSED",
131
                altpll_component.port_scanclkena = "PORT_UNUSED",
132
                altpll_component.port_scandata = "PORT_UNUSED",
133
                altpll_component.port_scandataout = "PORT_UNUSED",
134
                altpll_component.port_scandone = "PORT_UNUSED",
135
                altpll_component.port_scanread = "PORT_UNUSED",
136
                altpll_component.port_scanwrite = "PORT_UNUSED",
137
                altpll_component.port_clk0 = "PORT_USED",
138
                altpll_component.port_clk1 = "PORT_UNUSED",
139
                altpll_component.port_clk2 = "PORT_UNUSED",
140
                altpll_component.port_clk3 = "PORT_UNUSED",
141
                altpll_component.port_clk4 = "PORT_UNUSED",
142
                altpll_component.port_clk5 = "PORT_UNUSED",
143
                altpll_component.port_clkena0 = "PORT_UNUSED",
144
                altpll_component.port_clkena1 = "PORT_UNUSED",
145
                altpll_component.port_clkena2 = "PORT_UNUSED",
146
                altpll_component.port_clkena3 = "PORT_UNUSED",
147
                altpll_component.port_clkena4 = "PORT_UNUSED",
148
                altpll_component.port_clkena5 = "PORT_UNUSED",
149
                altpll_component.port_extclk0 = "PORT_UNUSED",
150
                altpll_component.port_extclk1 = "PORT_UNUSED",
151
                altpll_component.port_extclk2 = "PORT_UNUSED",
152
                altpll_component.port_extclk3 = "PORT_UNUSED",
153
                altpll_component.width_clock = 5;
154
endmodule
155
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.