OpenCores
URL https://opencores.org/ocsvn/minsoc/minsoc/trunk

Subversion Repositories minsoc

[/] [minsoc/] [trunk/] [rtl/] [verilog/] [xilinx_dcm.v] - Blame information for rev 173

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 62 rfajardo
 
2
`include "minsoc_defines.v"
3
 
4
module xilinx_dcm(
5
        clk_i,
6
        clk_o
7
);
8
 
9
// 
10
// Parameters 
11
// 
12
   parameter    divisor = 2;
13
 
14
input clk_i;
15
output clk_o;
16
 
17
 
18
`ifdef SPARTAN2
19
        `define XILINX_DLL
20
`elsif VIRTEX
21
        `define XILINX_DLL
22
`endif  // !SPARTAN2/VIRTEX
23
 
24
`ifdef SPARTAN3
25
        `define XILINX_DCM
26
`elsif VIRTEX2
27
        `define XILINX_DCM
28
`endif  // !SPARTAN3/VIRTEX2
29
 
30
`ifdef SPARTAN3E
31
        `define XILINX_DCM_SP
32
`elsif SPARTAN3A
33
        `define XILINX_DCM_SP
34 173 rfajardo
`elsif SPARTAN6
35
        `define XILINX_DCM_SP
36 62 rfajardo
`endif  // !SPARTAN3E/SPARTAN3A
37
 
38
`ifdef VIRTEX4
39
        `define XILINX_DCM_ADV
40
        `define XILINX_DCM_COMPONENT "VIRTEX4"
41
`elsif VIRTEX5
42
        `define XILINX_DCM_ADV
43
        `define XILINX_DCM_COMPONENT "VIRTEX5"
44
`endif  // !VIRTEX4/VIRTEX5
45
 
46
 
47
wire CLKIN_IN;
48
wire CLKDV_OUT;
49
 
50
assign CLKIN_IN = clk_i;
51
assign clk_o = CLKDV_OUT;
52
 
53
wire CLKIN_IBUFG;
54
wire CLK0_BUF;
55
wire CLKFB_IN;
56
wire CLKDV_BUF;
57
 
58 88 rfajardo
`ifdef XILINX_FPGA
59
 
60 62 rfajardo
IBUFG CLKIN_IBUFG_INST (
61
        .I(CLKIN_IN),
62
        .O(CLKIN_IBUFG)
63
);
64
 
65
BUFG CLK0_BUFG_INST (
66
        .I(CLK0_BUF),
67
        .O(CLKFB_IN)
68
);
69
 
70
BUFG CLKDV_BUFG_INST (
71
        .I(CLKDV_BUF),
72
        .O(CLKDV_OUT)
73
);
74
 
75
`ifdef XILINX_DLL
76
 
77
CLKDLL #(
78
        .CLKDV_DIVIDE(divisor),                 // Divide by: 1.5,2.0,2.5,3.0,4.0,5.0,8.0 or 16.0
79
        .DUTY_CYCLE_CORRECTION("TRUE"),         // Duty cycle correction, TRUE or FALSE
80
        .FACTORY_JF(16'hC080),                  // FACTORY JF Values
81
        .STARTUP_WAIT("FALSE")                  // Delay config DONE until DLL LOCK, TRUE/FALSE
82
) CLKDLL_inst (
83
        .CLK0(CLK0_BUF),                        // 0 degree DLL CLK output
84
        .CLK180(),                              // 180 degree DLL CLK output
85
        .CLK270(),                              // 270 degree DLL CLK output
86
        .CLK2X(),                               // 2X DLL CLK output
87
        .CLK90(),                               // 90 degree DLL CLK output
88
        .CLKDV(CLKDV_BUF),                      // Divided DLL CLK out (CLKDV_DIVIDE)
89
        .LOCKED(),                              // DLL LOCK status output
90
        .CLKFB(CLKFB_IN),                       // DLL clock feedback
91
        .CLKIN(CLKIN_IBUFG),                    // Clock input (from IBUFG, BUFG or DLL)
92
        .RST(1'b0)                              // DLL asynchronous reset input
93
);
94
 
95
`elsif XILINX_DCM
96
 
97
DCM #(
98
        .SIM_MODE("SAFE"),                      // Simulation: "SAFE" vs. "FAST", see "Synthesis and Simulation Design Guide" for details
99
        .CLKDV_DIVIDE(divisor),                 // Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5
100
                                                //   7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0
101
        .CLKFX_DIVIDE(1),                       // Can be any integer from 1 to 32
102
        .CLKFX_MULTIPLY(4),                     // Can be any integer from 2 to 32
103
        .CLKIN_DIVIDE_BY_2("FALSE"),            // TRUE/FALSE to enable CLKIN divide by two feature
104
        .CLKIN_PERIOD(0.0),                     // Specify period of input clock
105
        .CLKOUT_PHASE_SHIFT("NONE"),            // Specify phase shift of NONE, FIXED or VARIABLE
106
        .CLK_FEEDBACK("1X"),                    // Specify clock feedback of NONE, 1X or 2X
107
        .DESKEW_ADJUST("SYSTEM_SYNCHRONOUS"),   // SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or
108
                                                //   an integer from 0 to 15
109
        .DFS_FREQUENCY_MODE("LOW"),             // HIGH or LOW frequency mode for frequency synthesis
110
        .DLL_FREQUENCY_MODE("LOW"),             // HIGH or LOW frequency mode for DLL
111
        .DUTY_CYCLE_CORRECTION("TRUE"),         // Duty cycle correction, TRUE or FALSE
112
        .FACTORY_JF(16'hC080),                  // FACTORY JF values
113
        .PHASE_SHIFT(0),                         // Amount of fixed phase shift from -255 to 255
114
        .STARTUP_WAIT("FALSE")                  // Delay configuration DONE until DCM LOCK, TRUE/FALSE
115
) DCM_inst (
116
        .CLK0(CLK0_BUF),                        // 0 degree DCM CLK output
117
        .CLK180(),                              // 180 degree DCM CLK output
118
        .CLK270(),                              // 270 degree DCM CLK output
119
        .CLK2X(),                               // 2X DCM CLK output
120
        .CLK2X180(),                            // 2X, 180 degree DCM CLK out
121
        .CLK90(),                               // 90 degree DCM CLK output
122
        .CLKDV(CLKDV_BUF),                      // Divided DCM CLK out (CLKDV_DIVIDE)
123
        .CLKFX(),                               // DCM CLK synthesis out (M/D)
124
        .CLKFX180(),                            // 180 degree CLK synthesis out
125
        .LOCKED(),                              // DCM LOCK status output
126
        .PSDONE(),                              // Dynamic phase adjust done output
127
        .STATUS(),                              // 8-bit DCM status bits output
128
        .CLKFB(CLKFB_IN),                       // DCM clock feedback
129
        .CLKIN(CLKIN_IBUFG),                    // Clock input (from IBUFG, BUFG or DCM)
130
        .PSCLK(1'b0),                           // Dynamic phase adjust clock input
131
        .PSEN(1'b0),                            // Dynamic phase adjust enable input
132
        .PSINCDEC(1'b0),                        // Dynamic phase adjust increment/decrement
133
        .RST(1'b0)                              // DCM asynchronous reset input
134
);
135
 
136
`elsif XILINX_DCM_SP
137
 
138
DCM_SP #(
139
        .CLKDV_DIVIDE(divisor),                 // Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5
140
                                                //   7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0
141
        .CLKFX_DIVIDE(1),                       // Can be any integer from 1 to 32
142
        .CLKFX_MULTIPLY(4),                     // Can be any integer from 2 to 32
143
        .CLKIN_DIVIDE_BY_2("FALSE"),            // TRUE/FALSE to enable CLKIN divide by two feature
144
        .CLKIN_PERIOD(0.0),                     // Specify period of input clock
145
        .CLKOUT_PHASE_SHIFT("NONE"),            // Specify phase shift of NONE, FIXED or VARIABLE
146
        .CLK_FEEDBACK("1X"),                    // Specify clock feedback of NONE, 1X or 2X
147
        .DESKEW_ADJUST("SYSTEM_SYNCHRONOUS"),   // SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or
148
                                                //   an integer from 0 to 15
149
        .DLL_FREQUENCY_MODE("LOW"),             // HIGH or LOW frequency mode for DLL
150
        .DUTY_CYCLE_CORRECTION("TRUE"),         // Duty cycle correction, TRUE or FALSE
151
        .PHASE_SHIFT(0),                         // Amount of fixed phase shift from -255 to 255
152
        .STARTUP_WAIT("FALSE")                  // Delay configuration DONE until DCM LOCK, TRUE/FALSE
153
) DCM_SP_inst (
154
        .CLK0(CLK0_BUF),                        // 0 degree DCM CLK output
155
        .CLK180(),                              // 180 degree DCM CLK output
156
        .CLK270(),                              // 270 degree DCM CLK output
157
        .CLK2X(),                               // 2X DCM CLK output
158
        .CLK2X180(),                            // 2X, 180 degree DCM CLK out
159
        .CLK90(),                               // 90 degree DCM CLK output
160
        .CLKDV(CLKDV_BUF),                      // Divided DCM CLK out (CLKDV_DIVIDE)
161
        .CLKFX(),                               // DCM CLK synthesis out (M/D)
162
        .CLKFX180(),                            // 180 degree CLK synthesis out
163
        .LOCKED(),                              // DCM LOCK status output
164
        .PSDONE(),                              // Dynamic phase adjust done output
165
        .STATUS(),                              // 8-bit DCM status bits output
166
        .CLKFB(CLKFB_IN),                       // DCM clock feedback
167
        .CLKIN(CLKIN_IBUFG),                    // Clock input (from IBUFG, BUFG or DCM)
168
        .PSCLK(1'b0),                           // Dynamic phase adjust clock input
169
        .PSEN(1'b0),                            // Dynamic phase adjust enable input
170
        .PSINCDEC(1'b0),                        // Dynamic phase adjust increment/decrement
171
        .RST(1'b0)                              // DCM asynchronous reset input
172
);
173
 
174
`elsif XILINX_DCM_ADV
175
 
176
DCM_ADV #(
177
        .CLKDV_DIVIDE(divisor),                 // Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5
178
                                                //   7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0
179
        .CLKFX_DIVIDE(1),                       // Can be any integer from 1 to 32
180
        .CLKFX_MULTIPLY(4),                     // Can be any integer from 2 to 32
181
        .CLKIN_DIVIDE_BY_2("FALSE"),            // TRUE/FALSE to enable CLKIN divide by two feature
182
        .CLKIN_PERIOD(10.0),                    // Specify period of input clock in ns from 1.25 to 1000.00
183
        .CLKOUT_PHASE_SHIFT("NONE"),            // Specify phase shift mode of NONE, FIXED,
184
                                                // VARIABLE_POSITIVE, VARIABLE_CENTER or DIRECT
185
        .CLK_FEEDBACK("1X"),                    // Specify clock feedback of NONE, 1X or 2X
186
        .DCM_AUTOCALIBRATION("TRUE"),           // DCM calibration circuitry "TRUE"/"FALSE"
187
        .DCM_PERFORMANCE_MODE("MAX_SPEED"),     // Can be MAX_SPEED or MAX_RANGE
188
        .DESKEW_ADJUST("SYSTEM_SYNCHRONOUS"),   // SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or
189
                                                //   an integer from 0 to 15
190
        .DFS_FREQUENCY_MODE("LOW"),             // HIGH or LOW frequency mode for frequency synthesis
191
        .DLL_FREQUENCY_MODE("LOW"),             // LOW, HIGH, or HIGH_SER frequency mode for DLL
192
        .DUTY_CYCLE_CORRECTION("TRUE"),         // Duty cycle correction, "TRUE"/"FALSE"
193
        .FACTORY_JF(16'hf0f0),                  // FACTORY JF value suggested to be set to 16’hf0f0
194
        .PHASE_SHIFT(0),                         // Amount of fixed phase shift from -255 to 1023
195
        .SIM_DEVICE(`XILINX_DCM_COMPONENT),     // Set target device, "VIRTEX4" or "VIRTEX5"
196
        .STARTUP_WAIT("FALSE")                  // Delay configuration DONE until DCM LOCK, "TRUE"/"FALSE"
197
) DCM_ADV_inst (
198
        .CLK0(CLK0_BUF),                        // 0 degree DCM CLK output
199
        .CLK180(),                              // 180 degree DCM CLK output
200
        .CLK270(),                              // 270 degree DCM CLK output
201
        .CLK2X(),                               // 2X DCM CLK output
202
        .CLK2X180(),                            // 2X, 180 degree DCM CLK out
203
        .CLK90(),                               // 90 degree DCM CLK output
204
        .CLKDV(CLKDV_BUF),                      // Divided DCM CLK out (CLKDV_DIVIDE)
205
        .CLKFX(),                               // DCM CLK synthesis out (M/D)
206
        .CLKFX180(),                            // 180 degree CLK synthesis out
207
        .DO(),                                  // 16-bit data output for Dynamic Reconfiguration Port (DRP)
208
        .DRDY(),                                // Ready output signal from the DRP
209
        .LOCKED(),                              // DCM LOCK status output
210
        .PSDONE(),                              // Dynamic phase adjust done output
211
        .CLKFB(CLKFB_IN),                       // DCM clock feedback
212
        .CLKIN(CLKIN_IBUFG),                    // Clock input (from IBUFG, BUFG or DCM)
213
        .DADDR(7'h00),                          // 7-bit address for the DRP
214
        .DCLK(1'b0),                            // Clock for the DRP
215
        .DEN(1'b0),                             // Enable input for the DRP
216
        .DI(16'h0000),                          // 16-bit data input for the DRP
217
        .DWE(1'b0),                             // Active high allows for writing configuration memory
218
        .PSCLK(1'b0),                           // Dynamic phase adjust clock input
219
        .PSEN(1'b0),                            // Dynamic phase adjust enable input
220
        .PSINCDEC(1'b0),                        // Dynamic phase adjust increment/decrement
221
        .RST(1'b0)                              // DCM asynchronous reset input
222
);
223
 
224
`endif  // !XILINX_DLL/XILINX_DCM/XILINX_DCM_SP/XILINX_DCM_ADV
225 88 rfajardo
`endif  // !XILINX_FPGA
226 62 rfajardo
 
227
 
228
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.