OpenCores
URL https://opencores.org/ocsvn/minsoc/minsoc/trunk

Subversion Repositories minsoc

[/] [minsoc/] [trunk/] [syn/] [altera/] [Makefile] - Blame information for rev 93

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 93 javieralso
MINSOC = ..
2
MINSOC_DEFINES = ${MINSOC}/backend
3
MINSOC_RTL = ${MINSOC}/rtl/verilog
4
MINSOC_STARTUP_RTL = ${MINSOC_RTL}/minsoc_startup
5
UART_RTL = ${MINSOC_RTL}/uart16550/rtl/verilog
6
ADV_DEBUG_ROOT = ${MINSOC_RTL}/adv_debug_sys/Hardware
7
DEBUG_RTL = ${ADV_DEBUG_ROOT}/adv_dbg_if/rtl/verilog
8
OR1200_RTL = ${MINSOC_RTL}/or1200/rtl/verilog
9
ETH_RTL = ${MINSOC_RTL}/ethmac/rtl/verilog
10
BUILD_SUPPORT = $(MINSOC)/syn/buildSupport
11
PROJECT_DIR = $(MINSOC)/prj/altera
12
 
13
help:
14
        @echo "  all: Synthesize and implement the SoC, then generate a bit stream"
15
        @echo ""
16
        @echo "  bitgen: Generate a programming file for the target FPGA"
17
        @echo "  map: Express the SoC netlist in the target hardware"
18
        @echo "  fit: Place the target hardware, then route the wires"
19
        @echo "  sta: Perfom a timming analysis"
20
        @echo "  eda: Generate a netlist of the hardware"
21
        @echo ""
22
        @echo "  clean: Delete all superfluous files generated by Altera tools"
23
        @echo "  distclean: Delete all generated files"
24
 
25
all: bitgen eda sta
26
map: minsoc_top.map.summary
27
fit: minsoc_top.fit.summary
28
bitgen: minsoc_top.sof
29
eda: minsoc_top.eda.summary
30
sta: minsoc_top.sta.summary
31
 
32
#minsoc_top.map.summary: ${MINSOC_RTL}/*.v $(UART_RTL)/*.v $(ADV_DEBUG_ROOT)/*.v  $(DEBUG_RTL)/*.v $(OR1200_RTL)/*.v  $(ETH_RTL)/*.v ${MINSOC_DEFINES}/minsoc_defines.v minsoc_top.qsf
33
minsoc_top.map.summary: ${MINSOC_DEFINES}/minsoc_defines.v minsoc_top.qsf
34
 
35
        quartus_map minsoc_top --write_settings_files=off
36
 
37
minsoc_top.fit.summary: minsoc_top.map.summary
38
        quartus_fit minsoc_top --write_Settings_files=off --pack_register=minimize_area
39
 
40
minsoc_top.sof: minsoc_top.fit.summary
41
        quartus_asm minsoc_top
42
 
43
minsoc_top.sta.summary: minsoc_top.fit.summary
44
        quartus_sta minsoc_top
45
 
46
minsoc_top.eda.summary: minsoc_top.fit.summary
47
        quartus_eda minsoc_top --write_settings_files=off
48
 
49
distclean:
50
        $(RM) *.sof
51
        make clean
52
 
53
clean:
54
        $(RM) *.rpt *.summary *.jdi *.smsg *.pin *.qpf
55
        rm -fr db incremental_db

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.