OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [altera-ep3sl150/] [leon3mp.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
# Copyright (C) 1991-2007 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files from any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               s3_host_top_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY "Stratix III"
27
set_global_assignment -name DEVICE EP3SL150F1152C2
28
#set_global_assignment -name TOP_LEVEL_ENTITY s3_host_top
29
#set_global_assignment -name ORIGINAL_QUARTUS_VERSION 7.2
30
#set_global_assignment -name PROJECT_CREATION_TIME_DATE "08:56:31  JULY 03, 2007"
31
#set_global_assignment -name LAST_QUARTUS_VERSION 7.2
32
#set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
33
#set_global_assignment -name DEVICE_FILTER_PIN_COUNT 1152
34
set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
35
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
36
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
37
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING OFF
38
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
39
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_GATE_RETIME ON
40
set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE SPEED
41
set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS OFF
42
set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT"
43
 
44
set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER ON
45
set_global_assignment -name NUMBER_OF_PATHS_TO_REPORT 400
46
 
47
# Clocks
48
set_location_assignment PIN_T33 -to clk #clkin_[50]
49
set_location_assignment PIN_B16 -to clk125 #clkin_[125]
50
# set_location_assignment PIN_AP15 -to clkin_sma
51
# set_location_assignment PIN_AE27 -to clkout_sma
52
set_instance_assignment -name IO_STANDARD "1.8 V" -to clk #clkin_50
53
set_instance_assignment -name IO_STANDARD "1.8 V" -to clk125 #clkin_125
54
# set_instance_assignment -name IO_STANDARD "1.8 V" -to clkin_sma
55
# set_instance_assignment -name IO_STANDARD "2.5 V" -to clkout_sma
56
#set_global_assignment -name FMAX_REQUIREMENT "50.0 MHz"
57
set_global_assignment -name FMAX_REQUIREMENT "50 MHz" -section_id mainclk
58
set_instance_assignment -name CLOCK_SETTINGS mainclk -to clk
59
set_global_assignment -name FMAX_REQUIREMENT "125 MHz" -section_id mainclk2
60
set_instance_assignment -name CLOCK_SETTINGS mainclk2 -to clk125
61
set_global_assignment -name TCO_REQUIREMENT "2 ns"
62
set_global_assignment -name TPD_REQUIREMENT "2 ns"
63
 
64
# User LEDs
65
set_location_assignment PIN_F21 -to errorn #user_led[0]
66
set_location_assignment PIN_C23 -to dsuact #user_led[1]
67
# set_location_assignment PIN_B23 -to user_led[2]
68
# set_location_assignment PIN_A23 -to user_led[3]
69
# set_location_assignment PIN_D19 -to user_led[4]
70
# set_location_assignment PIN_C19 -to user_led[5]
71
# set_location_assignment PIN_F19 -to user_led[6]
72
set_location_assignment PIN_E19 -to rstoutn #user_led[7]
73
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led
74
set_instance_assignment -name IO_STANDARD "1.8 V" -to errorn #user_led[0]
75
set_instance_assignment -name IO_STANDARD "1.8 V" -to dsuact #user_led[1]
76
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[2]
77
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[3]
78
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[4]
79
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[5]
80
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_led[6]
81
set_instance_assignment -name IO_STANDARD "1.8 V" -to rstoutn #user_led[7]
82
 
83
# User Push Button
84
set_location_assignment PIN_B17 -to dsubren #user_pb[0]
85
# set_location_assignment PIN_A17 -to user_pb[1]
86
# set_location_assignment PIN_A16 -to user_pb[2]
87
# set_location_assignment PIN_K17 -to user_pb[3]
88
set_location_assignment PIN_AP5 -to resetn #user_resetn
89
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_pb
90
set_instance_assignment -name IO_STANDARD "1.8 V" -to dsubren #user_pb[0]
91
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_pb[1]
92
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_pb[2]
93
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_pb[3]
94
set_instance_assignment -name IO_STANDARD "2.5 V" -to resetn #user_resetn
95
 
96
# PSRAM
97
set_location_assignment PIN_D21 -to sram_advn
98
set_location_assignment PIN_D22 -to sram_ben[2] # sram_ben[0]
99
set_location_assignment PIN_E22 -to sram_ben[3] # sram_ben[1]
100
set_location_assignment PIN_E20 -to sram_ben[0] # sram_ben[2]
101
set_location_assignment PIN_H20 -to sram_ben[1] # sram_ben[3]
102
set_location_assignment PIN_C21 -to sram_clk
103
set_location_assignment PIN_A21 -to sram_csn
104
set_location_assignment PIN_A22 -to sram_oen
105
set_location_assignment PIN_AL18 -to sram_psn
106
set_location_assignment PIN_G20 -to sram_wait[0]
107
set_location_assignment PIN_F20 -to sram_wait[1]
108
set_location_assignment PIN_B22 -to sram_wen
109
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_advn
110
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben
111
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben[0]
112
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben[1]
113
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben[2]
114
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_ben[3]
115
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_clk
116
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_csn
117
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_oen
118
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_psn
119
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_wait
120
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_wait[0]
121
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_wait[1]
122
set_instance_assignment -name IO_STANDARD "1.8 V" -to sram_wen
123
 
124
# FLASH
125
set_location_assignment PIN_C7 -to flash_advn
126
set_location_assignment PIN_K25 -to flash_cen
127
set_location_assignment PIN_K24 -to flash_clk
128
set_location_assignment PIN_K23 -to flash_oen
129
set_location_assignment PIN_L16 -to flash_rdybsyn
130
set_location_assignment PIN_E13 -to flash_resetn
131
set_location_assignment PIN_L22 -to flash_wen
132
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_advn
133
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_cen
134
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_clk
135
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_oen
136
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_rdybsyn
137
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_resetn
138
set_instance_assignment -name IO_STANDARD "1.8 V" -to flash_wen
139
set_instance_assignment -name TOGGLE_RATE "0 MHz" -to flash_resetn
140
 
141
# MAXII
142
set_location_assignment PIN_C20 -to max_csn
143
set_location_assignment PIN_D20 -to max_oen
144
set_location_assignment PIN_K1 -to max_to_stratix3
145
set_location_assignment PIN_G21 -to max_wen
146
set_instance_assignment -name IO_STANDARD "1.8 V" -to max_csn
147
set_instance_assignment -name IO_STANDARD "1.8 V" -to max_oen
148
set_instance_assignment -name IO_STANDARD "2.5 V" -to max_to_stratix3
149
set_instance_assignment -name IO_STANDARD "1.8 V" -to max_wen
150
 
151
# Shared Address and Data for SSRAM, FLASH, and MAXII
152
set_location_assignment PIN_F22 -to address[0] #fsm_a[0]
153
set_location_assignment PIN_H23 -to address[1] #fsm_a[1]
154
set_location_assignment PIN_G23 -to address[2] #fsm_a[2]
155
set_location_assignment PIN_F23 -to address[3] #fsm_a[3]
156
set_location_assignment PIN_D27 -to address[4] #fsm_a[4]
157
set_location_assignment PIN_D28 -to address[5] #fsm_a[5]
158
set_location_assignment PIN_F25 -to address[6] #fsm_a[6]
159
set_location_assignment PIN_F26 -to address[7] #fsm_a[7]
160
set_location_assignment PIN_G24 -to address[8] #fsm_a[8]
161
set_location_assignment PIN_F24 -to address[9] #fsm_a[9]
162
set_location_assignment PIN_E26 -to address[10] #fsm_a[10]
163
set_location_assignment PIN_D26 -to address[11] #fsm_a[11]
164
set_location_assignment PIN_A30 -to address[12] #fsm_a[12]
165
set_location_assignment PIN_A33 -to address[13] #fsm_a[13]
166
set_location_assignment PIN_B31 -to address[14] #fsm_a[14]
167
set_location_assignment PIN_A31 -to address[15] #fsm_a[15]
168
set_location_assignment PIN_B32 -to address[16] #fsm_a[16]
169
set_location_assignment PIN_A32 -to address[17] #fsm_a[17]
170
set_location_assignment PIN_M23 -to address[18] #fsm_a[18]
171
set_location_assignment PIN_L23 -to address[19] #fsm_a[19]
172
set_location_assignment PIN_B29 -to address[20] #fsm_a[20]
173
set_location_assignment PIN_C29 -to address[21] #fsm_a[21]
174
set_location_assignment PIN_C31 -to address[22] #fsm_a[22]
175
set_location_assignment PIN_D31 -to address[23] #fsm_a[23]
176
set_location_assignment PIN_F27 -to address[24] #fsm_a[24]
177
set_location_assignment PIN_G27 -to data[16] # [0] #fsm_d[0]
178
set_location_assignment PIN_F28 -to data[17] # [1] #fsm_d[1]
179
set_location_assignment PIN_E28 -to data[18] # [2] #fsm_d[2]
180
set_location_assignment PIN_D30 -to data[19] # [3] #fsm_d[3]
181
set_location_assignment PIN_C30 -to data[20] # [4] #fsm_d[4]
182
set_location_assignment PIN_F29 -to data[21] # [5] #fsm_d[5]
183
set_location_assignment PIN_E29 -to data[22] # [6] #fsm_d[6]
184
set_location_assignment PIN_J24 -to data[23] # [7] #fsm_d[7]
185
set_location_assignment PIN_J25 -to data[24] # [8] #fsm_d[8]
186
set_location_assignment PIN_A24 -to data[25] # [9] #fsm_d[9]
187
set_location_assignment PIN_A26 -to data[26] # [10] #fsm_d[10]
188
set_location_assignment PIN_B25 -to data[27] # [11] #fsm_d[11]
189
set_location_assignment PIN_A25 -to data[28] # [12] #fsm_d[12]
190
set_location_assignment PIN_J20 -to data[29] # [13] #fsm_d[13]
191
set_location_assignment PIN_K20 -to data[30] # [14] #fsm_d[14]
192
set_location_assignment PIN_K21 -to data[31] # [15] #fsm_d[15]
193
set_location_assignment PIN_K22 -to data[0]  # [16] #fsm_d[16]
194
set_location_assignment PIN_C26 -to data[1]  # [17] #fsm_d[17]
195
set_location_assignment PIN_B26 -to data[2]  # [18] #fsm_d[18]
196
set_location_assignment PIN_J22 -to data[3]  # [19] #fsm_d[19]
197
set_location_assignment PIN_J21 -to data[4]  # [20] #fsm_d[20]
198
set_location_assignment PIN_C24 -to data[5]  # [21] #fsm_d[21]
199
set_location_assignment PIN_E25 -to data[6]  # [22] #fsm_d[22]
200
set_location_assignment PIN_D25 -to data[7]  # [23] #fsm_d[23]
201
set_location_assignment PIN_D24 -to data[8]  # [24] #fsm_d[24]
202
set_location_assignment PIN_A27 -to data[9]  # [25] #fsm_d[25]
203
set_location_assignment PIN_A29 -to data[10] # [26] #fsm_d[26]
204
set_location_assignment PIN_C27 -to data[11] # [27] #fsm_d[27]
205
set_location_assignment PIN_C28 -to data[12] # [28] #fsm_d[28]
206
set_location_assignment PIN_E23 -to data[13] # [29] #fsm_d[29]
207
set_location_assignment PIN_D23 -to data[14] # [30] #fsm_d[30]
208
set_location_assignment PIN_B28 -to data[15] # [31] #fsm_d[31]
209
set_instance_assignment -name IO_STANDARD "1.8 V" -to address
210
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[0]
211
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[1]
212
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[2]
213
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[3]
214
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[4]
215
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[5]
216
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[6]
217
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[7]
218
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[8]
219
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[9]
220
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[10]
221
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[11]
222
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[12]
223
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[13]
224
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[14]
225
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[15]
226
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[16]
227
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[17]
228
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[18]
229
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[19]
230
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[20]
231
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[21]
232
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[22]
233
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[23]
234
set_instance_assignment -name IO_STANDARD "1.8 V" -to address[24]
235
set_instance_assignment -name IO_STANDARD "1.8 V" -to data
236
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[0]
237
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[1]
238
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[2]
239
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[3]
240
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[4]
241
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[5]
242
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[6]
243
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[7]
244
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[8]
245
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[9]
246
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[10]
247
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[11]
248
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[12]
249
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[13]
250
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[14]
251
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[15]
252
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[16]
253
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[17]
254
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[18]
255
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[19]
256
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[20]
257
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[21]
258
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[22]
259
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[23]
260
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[24]
261
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[25]
262
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[26]
263
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[27]
264
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[28]
265
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[29]
266
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[30]
267
set_instance_assignment -name IO_STANDARD "1.8 V" -to data[31]
268
 
269
 # Ethernet
270
 
271
# set_location_assignment PIN_AB32 -to enet_intn
272
 set_location_assignment PIN_Y2    -to phy_mii_clk    #enet_mdc
273
 set_location_assignment PIN_AD30  -to phy_mii_data   #enet_mdio
274
 set_location_assignment PIN_Y31   -to phy_rst_n      #enet_resetn
275
 set_location_assignment PIN_AK28  -to phy_rx_clk     #enet_rx_clk
276
 set_location_assignment PIN_V33   -to phy_col        #enet_rx_col
277
 set_location_assignment PIN_V3    -to phy_crs        #enet_rx_crs
278
 set_location_assignment PIN_AE29  -to phy_rx_data[0] #enet_rx_d[0]
279
 set_location_assignment PIN_AM34  -to phy_rx_data[1] #enet_rx_d[1]
280
 set_location_assignment PIN_AL33  -to phy_rx_data[2] #enet_rx_d[2]
281
 set_location_assignment PIN_AJ32  -to phy_rx_data[3] #enet_rx_d[3]
282
 set_location_assignment PIN_AH34  -to phy_rx_data[4] #enet_rx_d[4]
283
 set_location_assignment PIN_AF29  -to phy_rx_data[5] #enet_rx_d[5]
284
 set_location_assignment PIN_AH33  -to phy_rx_data[6] #enet_rx_d[6]
285
 set_location_assignment PIN_V34   -to phy_rx_data[7] #enet_rx_d[7]
286
 set_location_assignment PIN_W5    -to phy_dv         #enet_rx_dv
287
 set_location_assignment PIN_AJ10  -to phy_rx_er      #enet_rx_er
288
# set_location_assignment PIN_Y34 -to enet_rx_n
289
# set_location_assignment PIN_AA33 -to enet_rx_p
290
# set_location_assignment PIN_W34 -to enet_s_clkn
291
# set_location_assignment PIN_W33 -to enet_s_clkp
292
 set_location_assignment PIN_AB34 -to phy_tx_clk     #enet_tx_clk
293
 set_location_assignment PIN_AF28 -to phy_tx_data[0] #enet_tx_d[0]
294
 set_location_assignment PIN_AD34 -to phy_tx_data[1] #enet_tx_d[1]
295
 set_location_assignment PIN_AL34 -to phy_tx_data[2] #enet_tx_d[2]
296
 set_location_assignment PIN_W30  -to phy_tx_data[3] #enet_tx_d[3]
297
 set_location_assignment PIN_AD33 -to phy_tx_data[4] #enet_tx_d[4]
298
 set_location_assignment PIN_AJ34 -to phy_tx_data[5] #enet_tx_d[5]
299
 set_location_assignment PIN_AJ31 -to phy_tx_data[6] #enet_tx_d[6]
300
 set_location_assignment PIN_AG30 -to phy_tx_data[7] #enet_tx_d[7]
301
 set_location_assignment PIN_AA34 -to phy_tx_en      #enet_tx_en
302
 set_location_assignment PIN_AA29 -to phy_tx_er      #enet_tx_er
303
# set_location_assignment PIN_V29 -to enet_tx_n
304
# set_location_assignment PIN_W28 -to enet_tx_p
305
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_gtx_clk    #enet_gtx_clk
306
# set_instance_assignment -name IO_STANDARD "2.5 V" -to enet_intn
307
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_mii_clk    #enet_mdc
308
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_mii_data   #enet_mdio
309
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rst_n      #enet_resetn
310
 set_instance_assignment -name IO_STANDARD "1.8 V" -to phy_rx_clk     #enet_rx_clk
311
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_col        #enet_rx_col
312
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_crs        #enet_rx_crs
313
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data    #enet_rx_d
314
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[0] #enet_rx_d[0]
315
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[1] #enet_rx_d[1]
316
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[2] #enet_rx_d[2]
317
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[3] #enet_rx_d[3]
318
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[4] #enet_rx_d[4]
319
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[5] #enet_rx_d[5]
320
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[6] #enet_rx_d[6]
321
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[7] #enet_rx_d[7]
322
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_dv         #enet_rx_dv
323
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_er      #enet_rx_er
324
# set_instance_assignment -name IO_STANDARD LVDS -to enet_rx_n
325
# set_instance_assignment -name IO_STANDARD LVDS -to enet_rx_p
326
# set_instance_assignment -name IO_STANDARD LVDS -to enet_s_clkn
327
# set_instance_assignment -name IO_STANDARD LVDS -to enet_s_clkp
328
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_clk     #enet_tx_clk
329
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data    #enet_tx_d
330
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[0] #enet_tx_d[0]
331
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[1] #enet_tx_d[1]
332
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[2] #enet_tx_d[2]
333
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[3] #enet_tx_d[3]
334
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[4] #enet_tx_d[4]
335
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[5] #enet_tx_d[5]
336
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[6] #enet_tx_d[6]
337
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[7] #enet_tx_d[7]
338
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_en      #enet_tx_en
339
 set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_er      #enet_tx_er
340
# set_instance_assignment -name IO_STANDARD LVDS -to enet_tx_n
341
# set_instance_assignment -name IO_STANDARD LVDS -to enet_tx_p
342
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to enet_mdc
343
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to enet_mdio
344
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to enet_resetn
345
set_global_assignment -name FMAX_REQUIREMENT "25 MHz" -section_id ethrxclk
346
set_instance_assignment -name CLOCK_SETTINGS ethrxclk -to phy_rx_clk
347
set_global_assignment -name FMAX_REQUIREMENT "25 MHz" -section_id ethtxclk
348
set_instance_assignment -name CLOCK_SETTINGS ethtxclk -to phy_tx_clk
349
set_instance_assignment -name TCO_REQUIREMENT "20 ns" -from phy_tx_clk -to *
350
set_instance_assignment -name TSU_REQUIREMENT "10 ns" -from * -to phy_rx_clk
351
set_instance_assignment -name TSU_REQUIREMENT "10 ns" -from * -to phy_tx_clk
352
353
 
354
# set_location_assignment PIN_F34 -to ddra_ad[0]    #ddr2_deva_a[0]
355
# set_location_assignment PIN_G34 -to ddra_ad[1]    #ddr2_deva_a[1]
356
# set_location_assignment PIN_G31 -to ddra_ad[2]    #ddr2_deva_a[2]
357
# set_location_assignment PIN_N24 -to ddra_ad[3]    #ddr2_deva_a[3]
358
# set_location_assignment PIN_L29 -to ddra_ad[4]    #ddr2_deva_a[4]
359
# set_location_assignment PIN_M30 -to ddra_ad[5]    #ddr2_deva_a[5]
360
# set_location_assignment PIN_L31 -to ddra_ad[6]    #ddr2_deva_a[6]
361
# set_location_assignment PIN_P25 -to ddra_ad[7]    #ddr2_deva_a[7]
362
# set_location_assignment PIN_K33 -to ddra_ad[8]    #ddr2_deva_a[8]
363
# set_location_assignment PIN_M29 -to ddra_ad[9]    #ddr2_deva_a[9]
364
# set_location_assignment PIN_J34 -to ddra_ad[10]   #ddr2_deva_a[10]
365
# set_location_assignment PIN_L32 -to ddra_ad[11]   #ddr2_deva_a[11]
366
# set_location_assignment PIN_P23 -to ddra_ad[12]   #ddr2_deva_a[12]
367
# set_location_assignment PIN_M26 -to ddra_ad[13]   #ddr2_deva_a[13]
368
# set_location_assignment PIN_N26 -to ddra_ad[14]   #ddr2_deva_a[14]
369
# set_location_assignment PIN_H34 -to ddra_ba[0]    #ddr2_deva_ba[0]
370
# set_location_assignment PIN_K30 -to ddra_ba[1]    #ddr2_deva_ba[1]
371
# set_location_assignment PIN_J33 -to ddra_ba[2]    #ddr2_deva_ba[2]
372
# set_location_assignment PIN_G30 -to ddra_casb     #ddr2_deva_casn
373
# set_location_assignment PIN_K32 -to ddrab_clkb[0] #ddr2_deva_ck_n
374
# set_location_assignment PIN_K31 -to ddrab_clk[0]  #ddr2_deva_ck_p
375
# set_location_assignment PIN_M27 -to ddra_cke      #ddr2_deva_cke
376
  set_location_assignment PIN_E34 -to ddra_csb      #ddr2_deva_csn
377
# set_location_assignment PIN_F31 -to ddrab_dm[0]   #ddr2_deva_dm
378
# set_location_assignment PIN_K27 -to ddrab_dq[0]   #ddr2_deva_dq[0]
379
# set_location_assignment PIN_J30 -to ddrab_dq[1]   #ddr2_deva_dq[1]
380
# set_location_assignment PIN_K28 -to ddrab_dq[2]   #ddr2_deva_dq[2]
381
# set_location_assignment PIN_J29 -to ddrab_dq[3]   #ddr2_deva_dq[3]
382
# set_location_assignment PIN_H32 -to ddrab_dq[4]   #ddr2_deva_dq[4]
383
# set_location_assignment PIN_M24 -to ddrab_dq[5]   #ddr2_deva_dq[5]
384
# set_location_assignment PIN_H31 -to ddrab_dq[6]   #ddr2_deva_dq[6]
385
# set_location_assignment PIN_N25 -to ddrab_dq[7]   #ddr2_deva_dq[7]
386
# set_location_assignment PIN_C34 -to ddrab_dqsn[0] #ddr2_deva_dqs_n
387
# set_location_assignment PIN_C33 -to ddrab_dqsp[0] #ddr2_deva_dqs_p
388
# set_location_assignment PIN_M28 -to ddrab_odt[0]  #ddr2_deva_odt
389
# set_location_assignment PIN_F32 -to ddra_rasb     #ddr2_deva_rasn
390
# set_location_assignment PIN_G33 -to ddra_web      #ddr2_deva_wen
391
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad       #ddr2_deva_a
392
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[0]    #ddr2_deva_a[0]
393
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[1]    #ddr2_deva_a[1]
394
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[2]    #ddr2_deva_a[2]
395
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[3]    #ddr2_deva_a[3]
396
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[4]    #ddr2_deva_a[4]
397
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[5]    #ddr2_deva_a[5]
398
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[6]    #ddr2_deva_a[6]
399
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[7]    #ddr2_deva_a[7]
400
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[8]    #ddr2_deva_a[8]
401
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[9]    #ddr2_deva_a[9]
402
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[10]   #ddr2_deva_a[10]
403
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[11]   #ddr2_deva_a[11]
404
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[12]   #ddr2_deva_a[12]
405
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[13]   #ddr2_deva_a[13]
406
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ad[14]   #ddr2_deva_a[14]
407
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ba       #ddr2_deva_ba
408
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ba[0]    #ddr2_deva_ba[0]
409
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ba[1]    #ddr2_deva_ba[1]
410
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_ba[2]    #ddr2_deva_ba[2]
411
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_casb     #ddr2_deva_casn
412
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddrab_clkb[0]
413
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddrab_clk[0]
414
# set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddrab_clk[0]
415
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_cke      #ddr2_deva_cke
416
  set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_csb      #ddr2_deva_csn
417
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dm[0]   #ddr2_deva_dm
418
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq      #ddr2_deva_dq
419
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[0]   #ddr2_deva_dq[0]
420
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[1]   #ddr2_deva_dq[1]
421
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[2]   #ddr2_deva_dq[2]
422
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[3]   #ddr2_deva_dq[3]
423
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[4]   #ddr2_deva_dq[4]
424
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[5]   #ddr2_deva_dq[5]
425
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[6]   #ddr2_deva_dq[6]
426
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[7]   #ddr2_deva_dq[7]
427
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddrab_dqsn[0] #ddr2_deva_dqs_n
428
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddrab_dqsp[0] #ddr2_deva_dqs_p
429
 
430
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddra_rasb     #ddr2_deva_rasn
431
 
432
433
# DDR2 device B
434
# set_location_assignment PIN_R27 -to ddrb_ad[0]    #ddr2_devb_a[0]
435
 
436
# set_location_assignment PIN_J31 -to ddrb_ad[2]    #ddr2_devb_a[2]
437
# set_location_assignment PIN_U32 -to ddrb_ad[3]    #ddr2_devb_a[3]
438
# set_location_assignment PIN_K34 -to ddrb_ad[4]    #ddr2_devb_a[4]
439
# set_location_assignment PIN_T23 -to ddrb_ad[5]    #ddr2_devb_a[5]
440
# set_location_assignment PIN_M34 -to ddrb_ad[6]    #ddr2_devb_a[6]
441
# set_location_assignment PIN_U31 -to ddrb_ad[7]    #ddr2_devb_a[7]
442
# set_location_assignment PIN_R24 -to ddrb_ad[8]    #ddr2_devb_a[8]
443
# set_location_assignment PIN_V31 -to ddrb_ad[9]    #ddr2_devb_a[9]
444
# set_location_assignment PIN_P34 -to ddrb_ad[10]   #ddr2_devb_a[10]
445
# set_location_assignment PIN_T29 -to ddrb_ad[11]   #ddr2_devb_a[11]
446
# set_location_assignment PIN_V32 -to ddrb_ad[12]   #ddr2_devb_a[12]
447
# set_location_assignment PIN_R28 -to ddrb_ad[13]   #ddr2_devb_a[13]
448
# set_location_assignment PIN_T30 -to ddrb_ad[14]   #ddr2_devb_a[14]
449
# set_location_assignment PIN_N32 -to ddrb_ba[0]    #ddr2_devb_ba[0]
450
# set_location_assignment PIN_N33 -to ddrb_ba[1]    #ddr2_devb_ba[1]
451
# set_location_assignment PIN_R30 -to ddrb_ba[2]    #ddr2_devb_ba[2]
452
# set_location_assignment PIN_U25 -to ddrb_casb     #ddr2_devb_casn
453
# set_location_assignment PIN_R32 -to ddrab_clkb[1] #ddr2_devb_ck_n
454
# set_location_assignment PIN_P31 -to ddrab_clk[1]  #ddr2_devb_ck_p
455
# set_location_assignment PIN_N34 -to ddrb_cke      #ddr2_devb_cke
456
  set_location_assignment PIN_J32 -to ddrb_csb      #ddr2_devb_csn
457
# set_location_assignment PIN_M31 -to ddrab_dm[1]   #ddr2_devb_dm
458
# set_location_assignment PIN_P29 -to ddrab_dq[8]   #ddr2_devb_dq[0]
459
# set_location_assignment PIN_P32 -to ddrab_dq[9]   #ddr2_devb_dq[1]
460
# set_location_assignment PIN_N30 -to ddrab_dq[10]  #ddr2_devb_dq[2]
461
# set_location_assignment PIN_N31 -to ddrab_dq[11]  #ddr2_devb_dq[3]
462
# set_location_assignment PIN_R26 -to ddrab_dq[12]  #ddr2_devb_dq[4]
463
# set_location_assignment PIN_P28 -to ddrab_dq[13]  #ddr2_devb_dq[5]
464
# set_location_assignment PIN_R25 -to ddrab_dq[14]  #ddr2_devb_dq[6]
465
# set_location_assignment PIN_N29 -to ddrab_dq[15]  #ddr2_devb_dq[7]
466
# set_location_assignment PIN_L34 -to ddrab_dqsn[1] #ddr2_devb_dqs_n
467
# set_location_assignment PIN_M33 -to ddrab_dqsp[1] #ddr2_devb_dqs_p
468
# set_location_assignment PIN_D34 -to ddrab_odt[1]  #ddr2_devb_odt
469
# set_location_assignment PIN_D33 -to ddrb_rasb     #ddr2_devb_rasn
470
# set_location_assignment PIN_T26 -to ddrb_web      #ddr2_devb_wen
471
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad        #dr2_devb_a
472
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[0]     #dr2_devb_a[0]
473
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[1]     #dr2_devb_a[1]
474
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[2]     #dr2_devb_a[2]
475
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[3]     #dr2_devb_a[3]
476
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[4]     #dr2_devb_a[4]
477
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[5]     #dr2_devb_a[5]
478
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[6]     #dr2_devb_a[6]
479
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[7]     #dr2_devb_a[7]
480
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[8]     #dr2_devb_a[8]
481
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[9]     #dr2_devb_a[9]
482
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[10]    #dr2_devb_a[10]
483
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[11]    #dr2_devb_a[11]
484
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[12]    #dr2_devb_a[12]
485
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[13]    #dr2_devb_a[13]
486
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ad[14]    #dr2_devb_a[14]
487
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ba        #ddr2_devb_ba
488
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ba[0]     #ddr2_devb_ba[0]
489
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ba[1]     #ddr2_devb_ba[1]
490
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_ba[2]     #ddr2_devb_ba[2]
491
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_casb      #ddr2_devb_casn
492
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddrab_clkb[1]
493
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddrab_clk[1]
494
# set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddrab_clk[1]
495
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_cke       # ddr2_devb_cke
496
  set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_csb       # ddr2_devb_csn
497
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dm[1]    # ddr2_devb_dm
498
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq       # ddr2_devb_dq
499
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[8]    # ddr2_devb_dq[0]
500
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[9]    # ddr2_devb_dq[1]
501
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[10]   # ddr2_devb_dq[2]
502
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[11]   # ddr2_devb_dq[3]
503
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[12]   # ddr2_devb_dq[4]
504
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[13]   # ddr2_devb_dq[5]
505
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[14]   # ddr2_devb_dq[6]
506
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddrab_dq[15]   # ddr2_devb_dq[7]
507
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddrab_dqsn[1]  # ddr2_devb_dqs_n
508
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddrab_dqsp[1]  # ddr2_devb_dqs_p
509
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrab_odt[1]   # ddr2_devb_odt
510
# set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddrb_rasb      # ddr2_devb_rasn
511
 
512
513
 
514
# set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddrab_dqsp
515
# set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddrab_dqsn
516
517
 
518
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddrab_dqsp[1] -to ddrab_dq[8..15]
519
set_instance_assignment -name D3_DELAY 2 -to ddrab_dq
520
521
 
522
#set_instance_assignment -name DQ_GROUP 9 -from ddrab_dqsp[0] -to ddrab_dm[0]
523
#set_instance_assignment -name DQ_GROUP 9 -from ddrab_dqsp[0] -to ddrab_dq[0..7]
524
#set_instance_assignment -name OUTPUT_ENABLE_GROUP 2 -to ddrab_dq[8..15]
525
 
526
#set_instance_assignment -name DQ_GROUP 9 -from ddrab_dqsp[1] -to ddrab_dq[8..15]
527
#set_instance_assignment -name D3_DELAY 0 -to ddrab_dq
528
529
# DDR2 DIMM
530
set_location_assignment PIN_AM19 -to ddr_ad[0]   #ddr2_dimm_a[0]
531
set_location_assignment PIN_AM18 -to ddr_ad[1]   #ddr2_dimm_a[1]
532
set_location_assignment PIN_AF16 -to ddr_ad[2]   #ddr2_dimm_a[2]
533
 
534
set_location_assignment PIN_AM17 -to ddr_ad[4]   #ddr2_dimm_a[4]
535
set_location_assignment PIN_AL19 -to ddr_ad[5]   #ddr2_dimm_a[5]
536
set_location_assignment PIN_AK18 -to ddr_ad[6]   #ddr2_dimm_a[6]
537
set_location_assignment PIN_AD16 -to ddr_ad[7]   #ddr2_dimm_a[7]
538
set_location_assignment PIN_AE16 -to ddr_ad[8]   #ddr2_dimm_a[8]
539
set_location_assignment PIN_AM16 -to ddr_ad[9]   #ddr2_dimm_a[9]
540
set_location_assignment PIN_AH19 -to ddr_ad[10]  #ddr2_dimm_a[10]
541
set_location_assignment PIN_AL16 -to ddr_ad[11]  #ddr2_dimm_a[11]
542
set_location_assignment PIN_AF20 -to ddr_ad[12]  #ddr2_dimm_a[12]
543
set_location_assignment PIN_AE23 -to ddr_ad[13]  #ddr2_dimm_a[13]
544
set_location_assignment PIN_AG19 -to ddr_ad[14]  #ddr2_dimm_a[14]
545
set_location_assignment PIN_AP12 -to ddr_ad[15]  #ddr2_dimm_a[15]
546
set_location_assignment PIN_AN18 -to ddr_ba[0]   #ddr2_dimm_ba[0]
547
set_location_assignment PIN_AL17 -to ddr_ba[1]   #ddr2_dimm_ba[1]
548
set_location_assignment PIN_AD15 -to ddr_ba[2]   #ddr2_dimm_ba[2]
549
set_location_assignment PIN_AD18 -to ddr_casb    #ddr2_dimm_casn
550
set_location_assignment PIN_AJ16 -to ddr_cke[0]  #ddr2_dimm_cke[0]
551
set_location_assignment PIN_AP7  -to ddr_cke[1]  #ddr2_dimm_cke[1]
552
set_location_assignment PIN_AM14 -to ddr_clkb[0] #ddr2_dimm_clk_n[0]
553
set_location_assignment PIN_AL13 -to ddr_clkb[1] #ddr2_dimm_clk_n[1]
554
set_location_assignment PIN_AM15 -to ddr_clkb[2] #ddr2_dimm_clk_n[2]
555
set_location_assignment PIN_AL14 -to ddr_clk[0]  #ddr2_dimm_clk_p[0]
556
set_location_assignment PIN_AK13 -to ddr_clk[1]  #ddr2_dimm_clk_p[1]
557
set_location_assignment PIN_AL15 -to ddr_clk[2]  #ddr2_dimm_clk_p[2]
558
set_location_assignment PIN_AG21 -to ddr_csb[0]  #ddr2_dimm_csn[0]
559
set_location_assignment PIN_AC22 -to ddr_csb[1]  #ddr2_dimm_csn[1]
560
set_location_assignment PIN_AL12 -to ddr_dm[0]   #ddr2_dimm_dm[0]
561
set_location_assignment PIN_AP10 -to ddr_dm[1]   #ddr2_dimm_dm[1]
562
set_location_assignment PIN_AJ15 -to ddr_dm[2]   #ddr2_dimm_dm[2]
563
set_location_assignment PIN_AL22 -to ddr_dm[3]   #ddr2_dimm_dm[3]
564
set_location_assignment PIN_AE22 -to ddr_dm[4]   #ddr2_dimm_dm[4]
565
set_location_assignment PIN_AK27 -to ddr_dm[5]   #ddr2_dimm_dm[5]
566
set_location_assignment PIN_AJ28 -to ddr_dm[6]   #ddr2_dimm_dm[6]
567
set_location_assignment PIN_AP32 -to ddr_dm[7]   #ddr2_dimm_dm[7]
568
set_location_assignment PIN_AH23 -to ddr_dm[8]   #ddr2_dimm_dm[8]
569
set_location_assignment PIN_AP6  -to ddr_dq[0]   #ddr2_dimm_dq[0]
570
set_location_assignment PIN_AN7  -to ddr_dq[1]   #ddr2_dimm_dq[1]
571
set_location_assignment PIN_AK10 -to ddr_dq[2]   #ddr2_dimm_dq[2]
572
set_location_assignment PIN_AK12 -to ddr_dq[3]   #ddr2_dimm_dq[3]
573
set_location_assignment PIN_AM7  -to ddr_dq[4]   #ddr2_dimm_dq[4]
574
set_location_assignment PIN_AM8  -to ddr_dq[5]   #ddr2_dimm_dq[5]
575
set_location_assignment PIN_AM11 -to ddr_dq[6]   #ddr2_dimm_dq[6]
576
set_location_assignment PIN_AP8  -to ddr_dq[7]   #ddr2_dimm_dq[7]
577
set_location_assignment PIN_AE13 -to ddr_dq[8]   #ddr2_dimm_dq[8]
578
set_location_assignment PIN_AF13 -to ddr_dq[9]   #ddr2_dimm_dq[9]
579
set_location_assignment PIN_AP11 -to ddr_dq[10]  #ddr2_dimm_dq[10]
580
set_location_assignment PIN_AF15 -to ddr_dq[11]  #ddr2_dimm_dq[11]
581
set_location_assignment PIN_AE14 -to ddr_dq[12]  #ddr2_dimm_dq[12]
582
set_location_assignment PIN_AE15 -to ddr_dq[13]  #ddr2_dimm_dq[13]
583
set_location_assignment PIN_AP9  -to ddr_dq[14]  #ddr2_dimm_dq[14]
584
set_location_assignment PIN_AN10 -to ddr_dq[15]  #ddr2_dimm_dq[15]
585
set_location_assignment PIN_AN12 -to ddr_dq[16]  #ddr2_dimm_dq[16]
586
set_location_assignment PIN_AM12 -to ddr_dq[17]  #ddr2_dimm_dq[17]
587
set_location_assignment PIN_AG15 -to ddr_dq[18]  #ddr2_dimm_dq[18]
588
set_location_assignment PIN_AH15 -to ddr_dq[19]  #ddr2_dimm_dq[19]
589
set_location_assignment PIN_AN13 -to ddr_dq[20]  #ddr2_dimm_dq[20]
590
set_location_assignment PIN_AP13 -to ddr_dq[21]  #ddr2_dimm_dq[21]
591
set_location_assignment PIN_AP14 -to ddr_dq[22]  #ddr2_dimm_dq[22]
592
set_location_assignment PIN_AK15 -to ddr_dq[23]  #ddr2_dimm_dq[23]
593
set_location_assignment PIN_AJ21 -to ddr_dq[24]  #ddr2_dimm_dq[24]
594
set_location_assignment PIN_AM22 -to ddr_dq[25]  #ddr2_dimm_dq[25]
595
set_location_assignment PIN_AN21 -to ddr_dq[26]  #ddr2_dimm_dq[26]
596
set_location_assignment PIN_AP21 -to ddr_dq[27]  #ddr2_dimm_dq[27]
597
set_location_assignment PIN_AJ20 -to ddr_dq[28]  #ddr2_dimm_dq[28]
598
set_location_assignment PIN_AK21 -to ddr_dq[29]  #ddr2_dimm_dq[29]
599
set_location_assignment PIN_AP20 -to ddr_dq[30]  #ddr2_dimm_dq[30]
600
set_location_assignment PIN_AM21 -to ddr_dq[31]  #ddr2_dimm_dq[31]
601
set_location_assignment PIN_AE20 -to ddr_dq[32]  #ddr2_dimm_dq[32]
602
set_location_assignment PIN_AF21 -to ddr_dq[33]  #ddr2_dimm_dq[33]
603
set_location_assignment PIN_AP24 -to ddr_dq[34]  #ddr2_dimm_dq[34]
604
set_location_assignment PIN_AP26 -to ddr_dq[35]  #ddr2_dimm_dq[35]
605
set_location_assignment PIN_AD21 -to ddr_dq[36]  #ddr2_dimm_dq[36]
606
set_location_assignment PIN_AE21 -to ddr_dq[37]  #ddr2_dimm_dq[37]
607
set_location_assignment PIN_AP23 -to ddr_dq[38]  #ddr2_dimm_dq[38]
608
set_location_assignment PIN_AN24 -to ddr_dq[39]  #ddr2_dimm_dq[39]
609
set_location_assignment PIN_AP27 -to ddr_dq[40]  #ddr2_dimm_dq[40]
610
set_location_assignment PIN_AN27 -to ddr_dq[41]  #ddr2_dimm_dq[41]
611
set_location_assignment PIN_AL28 -to ddr_dq[42]  #ddr2_dimm_dq[42]
612
set_location_assignment PIN_AK25 -to ddr_dq[43]  #ddr2_dimm_dq[43]
613
set_location_assignment PIN_AM26 -to ddr_dq[44]  #ddr2_dimm_dq[44]
614
set_location_assignment PIN_AL26 -to ddr_dq[45]  #ddr2_dimm_dq[45]
615
set_location_assignment PIN_AP29 -to ddr_dq[46]  #ddr2_dimm_dq[46]
616
set_location_assignment PIN_AM28 -to ddr_dq[47]  #ddr2_dimm_dq[47]
617
set_location_assignment PIN_AN30 -to ddr_dq[48]  #ddr2_dimm_dq[48]
618
set_location_assignment PIN_AM30 -to ddr_dq[49]  #ddr2_dimm_dq[49]
619
set_location_assignment PIN_AJ26 -to ddr_dq[50]  #ddr2_dimm_dq[50]
620
set_location_assignment PIN_AH27 -to ddr_dq[51]  #ddr2_dimm_dq[51]
621
set_location_assignment PIN_AM29 -to ddr_dq[52]  #ddr2_dimm_dq[52]
622
set_location_assignment PIN_AL29 -to ddr_dq[53]  #ddr2_dimm_dq[53]
623
set_location_assignment PIN_AJ29 -to ddr_dq[54]  #ddr2_dimm_dq[54]
624
set_location_assignment PIN_AJ27 -to ddr_dq[55]  #ddr2_dimm_dq[55]
625
set_location_assignment PIN_AF24 -to ddr_dq[56]  #ddr2_dimm_dq[56]
626
set_location_assignment PIN_AG24 -to ddr_dq[57]  #ddr2_dimm_dq[57]
627
set_location_assignment PIN_AF23 -to ddr_dq[58]  #ddr2_dimm_dq[58]
628
set_location_assignment PIN_AN31 -to ddr_dq[59]  #ddr2_dimm_dq[59]
629
set_location_assignment PIN_AH25 -to ddr_dq[60]  #ddr2_dimm_dq[60]
630
set_location_assignment PIN_AH26 -to ddr_dq[61]  #ddr2_dimm_dq[61]
631
set_location_assignment PIN_AP31 -to ddr_dq[62]  #ddr2_dimm_dq[62]
632
set_location_assignment PIN_AP30 -to ddr_dq[63]  #ddr2_dimm_dq[63]
633
set_location_assignment PIN_AH22 -to ddr_dq[64]  #ddr2_dimm_dq[64]
634
set_location_assignment PIN_AM23 -to ddr_dq[65]  #ddr2_dimm_dq[65]
635
set_location_assignment PIN_AJ23 -to ddr_dq[66]  #ddr2_dimm_dq[66]
636
set_location_assignment PIN_AJ24 -to ddr_dq[67]  #ddr2_dimm_dq[67]
637
set_location_assignment PIN_AK22 -to ddr_dq[68]  #ddr2_dimm_dq[68]
638
set_location_assignment PIN_AL23 -to ddr_dq[69]  #ddr2_dimm_dq[69]
639
set_location_assignment PIN_AL25 -to ddr_dq[70]  #ddr2_dimm_dq[70]
640
set_location_assignment PIN_AK24 -to ddr_dq[71]  #ddr2_dimm_dq[71]
641
set_location_assignment PIN_AL11 -to ddr_dqsn[0] #ddr2_dimm_dqs_n[0]
642
set_location_assignment PIN_AN9  -to ddr_dqsn[1] #ddr2_dimm_dqs_n[1]
643
set_location_assignment PIN_AJ14 -to ddr_dqsn[2] #ddr2_dimm_dqs_n[2]
644
set_location_assignment PIN_AP22 -to ddr_dqsn[3] #ddr2_dimm_dqs_n[3]
645
set_location_assignment PIN_AP25 -to ddr_dqsn[4] #ddr2_dimm_dqs_n[4]
646
set_location_assignment PIN_AP28 -to ddr_dqsn[5] #ddr2_dimm_dqs_n[5]
647
set_location_assignment PIN_AM32 -to ddr_dqsn[6] #ddr2_dimm_dqs_n[6]
648
set_location_assignment PIN_AP33 -to ddr_dqsn[7] #ddr2_dimm_dqs_n[7]
649
set_location_assignment PIN_AM24 -to ddr_dqsn[8] #ddr2_dimm_dqs_n[8]
650
set_location_assignment PIN_AL10 -to ddr_dqsp[0] #ddr2_dimm_dqs_p[0]
651
set_location_assignment PIN_AM9  -to ddr_dqsp[1] #ddr2_dimm_dqs_p[1]
652
set_location_assignment PIN_AH14 -to ddr_dqsp[2] #ddr2_dimm_dqs_p[2]
653
set_location_assignment PIN_AN22 -to ddr_dqsp[3] #ddr2_dimm_dqs_p[3]
654
set_location_assignment PIN_AN25 -to ddr_dqsp[4] #ddr2_dimm_dqs_p[4]
655
set_location_assignment PIN_AN28 -to ddr_dqsp[5] #ddr2_dimm_dqs_p[5]
656
set_location_assignment PIN_AM31 -to ddr_dqsp[6] #ddr2_dimm_dqs_p[6]
657
set_location_assignment PIN_AN33 -to ddr_dqsp[7] #ddr2_dimm_dqs_p[7]
658
set_location_assignment PIN_AL24 -to ddr_dqsp[8] #ddr2_dimm_dqs_p[8]
659
set_location_assignment PIN_AE19 -to ddr_odt[0]  #ddr2_dimm_odt[0]
660
set_location_assignment PIN_AD19 -to ddr_odt[1]  #ddr2_dimm_odt[1]
661
set_location_assignment PIN_AN19 -to ddr_rasb    #ddr2_dimm_rasn
662
#set_location_assignment PIN_AE18 -to ddr2_dimm_resetn
663
#set_location_assignment PIN_AN15 -to ddr2_dimm_scl
664
#set_location_assignment PIN_AK19 -to ddr2_dimm_sda
665
set_location_assignment PIN_AJ19 -to ddr_web     #ddr2_dimm_wen
666
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad #ddr2_dimm_a
667
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[0]  #ddr2_dimm_a[0]
668
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[1]  #ddr2_dimm_a[1]
669
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[2]  #ddr2_dimm_a[2]
670
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[3]  #ddr2_dimm_a[3]
671
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[4]  #ddr2_dimm_a[4]
672
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[5]  #ddr2_dimm_a[5]
673
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[6]  #ddr2_dimm_a[6]
674
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[7]  #ddr2_dimm_a[7]
675
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[8]  #ddr2_dimm_a[8]
676
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[9]  #ddr2_dimm_a[9]
677
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[10] #ddr2_dimm_a[10]
678
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[11] #ddr2_dimm_a[11]
679
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[12] #ddr2_dimm_a[12]
680
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[13] #ddr2_dimm_a[13]
681
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[14] #ddr2_dimm_a[14]
682
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ad[15] #ddr2_dimm_a[15]
683
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ba #ddr2_dimm_ba
684
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ba[0] #ddr2_dimm_ba[0]
685
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ba[1] #ddr2_dimm_ba[1]
686
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_ba[2] #ddr2_dimm_ba[2]
687
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_casb #ddr2_dimm_casn
688
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_cke #ddr2_dimm_cke
689
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_cke[0] #ddr2_dimm_cke[0]
690
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_cke[1] #ddr2_dimm_cke[1]
691
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clkb[0] #ddr2_dimm_clk_n[0]
692
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clkb[1] #ddr2_dimm_clk_n[1]
693
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clkb[2] #ddr2_dimm_clk_n[2]
694
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clk[0]  #ddr2_dimm_clk_p[0]
695
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clk[1]  #ddr2_dimm_clk_p[1]
696
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS I" -to ddr_clk[2]  #ddr2_dimm_clk_p[2]
697
#set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddr_clk[0]
698
#set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddr_clk[1]
699
#set_instance_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER ON -to ddr_clk[2]
700
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_csb #ddr2_dimm_csn
701
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_csb[0] #ddr2_dimm_csn[0]
702
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_csb[1] #ddr2_dimm_csn[1]
703
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm #ddr2_dimm_dm
704
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[0] #ddr2_dimm_dm[0]
705
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[1] #ddr2_dimm_dm[1]
706
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[2] #ddr2_dimm_dm[2]
707
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[3] #ddr2_dimm_dm[3]
708
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[4] #ddr2_dimm_dm[4]
709
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[5] #ddr2_dimm_dm[5]
710
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[6] #ddr2_dimm_dm[6]
711
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[7] #ddr2_dimm_dm[7]
712
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dm[8] #ddr2_dimm_dm[8]
713
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq #ddr2_dimm_dq
714
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[0]  #ddr2_dimm_dq[0]
715
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[1]  #ddr2_dimm_dq[1]
716
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[2]  #ddr2_dimm_dq[2]
717
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[3]  #ddr2_dimm_dq[3]
718
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[4]  #ddr2_dimm_dq[4]
719
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[5]  #ddr2_dimm_dq[5]
720
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[6]  #ddr2_dimm_dq[6]
721
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[7]  #ddr2_dimm_dq[7]
722
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[8]  #ddr2_dimm_dq[8]
723
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[9]  #ddr2_dimm_dq[9]
724
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[10] #ddr2_dimm_dq[10]
725
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[11] #ddr2_dimm_dq[11]
726
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[12] #ddr2_dimm_dq[12]
727
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[13] #ddr2_dimm_dq[13]
728
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[14] #ddr2_dimm_dq[14]
729
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[15] #ddr2_dimm_dq[15]
730
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[16] #ddr2_dimm_dq[16]
731
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[17] #ddr2_dimm_dq[17]
732
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[18] #ddr2_dimm_dq[18]
733
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[19] #ddr2_dimm_dq[19]
734
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[20] #ddr2_dimm_dq[20]
735
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[21] #ddr2_dimm_dq[21]
736
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[22] #ddr2_dimm_dq[22]
737
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[23] #ddr2_dimm_dq[23]
738
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[24] #ddr2_dimm_dq[24]
739
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[25] #ddr2_dimm_dq[25]
740
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[26] #ddr2_dimm_dq[26]
741
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[27] #ddr2_dimm_dq[27]
742
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[28] #ddr2_dimm_dq[28]
743
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[29] #ddr2_dimm_dq[29]
744
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[30] #ddr2_dimm_dq[30]
745
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[31] #ddr2_dimm_dq[31]
746
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[32] #ddr2_dimm_dq[32]
747
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[33] #ddr2_dimm_dq[33]
748
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[34] #ddr2_dimm_dq[34]
749
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[35] #ddr2_dimm_dq[35]
750
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[36] #ddr2_dimm_dq[36]
751
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[37] #ddr2_dimm_dq[37]
752
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[38] #ddr2_dimm_dq[38]
753
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[39] #ddr2_dimm_dq[39]
754
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[40] #ddr2_dimm_dq[40]
755
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[41] #ddr2_dimm_dq[41]
756
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[42] #ddr2_dimm_dq[42]
757
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[43] #ddr2_dimm_dq[43]
758
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[44] #ddr2_dimm_dq[44]
759
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[45] #ddr2_dimm_dq[45]
760
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[46] #ddr2_dimm_dq[46]
761
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[47] #ddr2_dimm_dq[47]
762
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[48] #ddr2_dimm_dq[48]
763
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[49] #ddr2_dimm_dq[49]
764
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[50] #ddr2_dimm_dq[50]
765
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[51] #ddr2_dimm_dq[51]
766
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[52] #ddr2_dimm_dq[52]
767
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[53] #ddr2_dimm_dq[53]
768
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[54] #ddr2_dimm_dq[54]
769
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[55] #ddr2_dimm_dq[55]
770
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[56] #ddr2_dimm_dq[56]
771
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[57] #ddr2_dimm_dq[57]
772
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[58] #ddr2_dimm_dq[58]
773
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[59] #ddr2_dimm_dq[59]
774
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[60] #ddr2_dimm_dq[60]
775
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[61] #ddr2_dimm_dq[61]
776
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[62] #ddr2_dimm_dq[62]
777
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[63] #ddr2_dimm_dq[63]
778
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[64] #ddr2_dimm_dq[64]
779
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[65] #ddr2_dimm_dq[65]
780
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[66] #ddr2_dimm_dq[66]
781
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[67] #ddr2_dimm_dq[67]
782
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[68] #ddr2_dimm_dq[68]
783
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[69] #ddr2_dimm_dq[69]
784
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[70] #ddr2_dimm_dq[70]
785
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dq[71] #ddr2_dimm_dq[71]
786
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dqsn #ddr2_dimm_dqs_n
787
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[0] #ddr2_dimm_dqs_n[0]
788
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[1] #ddr2_dimm_dqs_n[1]
789
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[2] #ddr2_dimm_dqs_n[2]
790
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[3] #ddr2_dimm_dqs_n[3]
791
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[4] #ddr2_dimm_dqs_n[4]
792
 
793
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[6] #ddr2_dimm_dqs_n[6]
794
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[7] #ddr2_dimm_dqs_n[7]
795
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsn[8] #ddr2_dimm_dqs_n[8]
796
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS II" -to ddr_dqsp    #ddr2_dimm_dqs_p
797
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[0] #ddr2_dimm_dqs_p[0]
798
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[1] #ddr2_dimm_dqs_p[1]
799
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[2] #ddr2_dimm_dqs_p[2]
800
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[3] #ddr2_dimm_dqs_p[3]
801
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[4] #ddr2_dimm_dqs_p[4]
802
 
803
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[6] #ddr2_dimm_dqs_p[6]
804
 
805
# set_instance_assignment -name IO_STANDARD "DIFFERENTIAL 1.8-V SSTL CLASS II" -to ddr_dqsp[8] #ddr2_dimm_dqs_p[8]
806
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_odt #ddr2_dimm_odt
807
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_odt[0] #ddr2_dimm_odt[0]
808
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_odt[1] #ddr2_dimm_odt[1]
809
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_rasb #ddr2_dimm_rasn
810
#set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr2_dimm_resetn
811
#set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr2_dimm_scl
812
#set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr2_dimm_sda
813
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I"  -to ddr_web #ddr2_dimm_wen
814
 
815
#set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddr_dq
816
#set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddr_dqsp
817
#set_instance_assignment -name INPUT_TERMINATION "PARALLEL 50 OHM WITH CALIBRATION" -to ddr_dqsn
818
819
#set_instance_assignment -name CURRENT_STRENGTH_NEW "8MA" -to ddr_dq
820
#set_instance_assignment -name CURRENT_STRENGTH_NEW "8MA" -to ddr_dqsp
821
#set_instance_assignment -name CURRENT_STRENGTH_NEW "8MA" -to ddr_dqsn
822
823
 
824
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[1] -to ddr_dq[8..15]
825
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[2] -to ddr_dq[16..23]
826
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[3] -to ddr_dq[24..31]
827
 
828
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[5] -to ddr_dq[40..47]
829
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[6] -to ddr_dq[48..55]
830
set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[7] -to ddr_dq[56..63]
831
 
832
## D3_DELAY = 3 for 125 MHz, D3_DELAY = 0 for 150-200 MHz
833
set_instance_assignment -name D3_DELAY 0 -to ddr_dq
834
#set_instance_assignment -name D1_DELAY 15 -to ddr_dq ## MAX DELAY
835
#set_instance_assignment -name D2_DELAY 7 -to ddr_dq  ## MAX DELAY
836
#set_instance_assignment -name D3_DELAY 7 -to ddr_dq  ## MAX DELAY
837
838
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[0] -to ddr_dqsp[0]
839
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[1] -to ddr_dqsp[1]
840
 
841
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[3] -to ddr_dqsp[3]
842
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[4] -to ddr_dqsp[4]
843
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[5] -to ddr_dqsp[5]
844
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[6] -to ddr_dqsp[6]
845
# set_instance_assignment -name MEMORY_INTERFACE_DATA_PIN_GROUP 9 -from ddr_dqsp[7] -to ddr_dqsp[7]
846
 
847
848
849
# set_location_assignment PIN_E31 -to rup1a
850
# set_location_assignment PIN_AK31 -to rup2a
851
# set_location_assignment PIN_AG9 -to rup4a
852
# set_location_assignment PIN_AK4 -to rup5a
853
# set_location_assignment PIN_E4 -to rup6a
854
# set_location_assignment PIN_H26 -to rup8a
855
 
856
 
857
 
858
# set_location_assignment PIN_AK3 -to rdn5a
859
# set_location_assignment PIN_E3 -to rdn6a
860
# set_location_assignment PIN_G26 -to rdn8a
861
# set_instance_assignment -name IO_STANDARD "1.8 V" -to rdn1a
862
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rdn2a
863
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rdn4a
864
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rdn5a
865
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rdn6a
866
# set_instance_assignment -name IO_STANDARD "1.8 V" -to rdn8a
867
# set_instance_assignment -name IO_STANDARD "1.8 V" -to rup1a
868
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rup2a
869
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rup4a
870
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rup5a
871
# set_instance_assignment -name IO_STANDARD "2.5 V" -to rup6a
872
# set_instance_assignment -name IO_STANDARD "1.8 V" -to rup8a
873
874
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
875
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
876
#
877
#
878
879
#######################################################################################################
880
#
881
#######################################################################################################
882
 
883
#set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
884
#set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
885
#set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top
886
#set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
887
 
888
#set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
889
#set_global_assignment -name USER_LIBRARIES "golden_top_perl/;golden_top/golden_top_s3f1152_restored_dpa/;golden_top_perl/;golden_top/golden_top_s3f1152_restored_dpa/;c:\\cvs_sandbox\\boards\\stratix3\\s3_f1152_host_board\\pld\\golden_top\\golden_top_s3f1152_restored_dpa;c:\\cvs_sandbox\\boards\\stratix3\\s3_f1152_host_board\\pld\\golden_top_perl"
890
#set_global_assignment -name ENABLE_ADVANCED_IO_TIMING ON
891
 
892
#set_global_assignment -name SMART_RECOMPILE ON
893
# set_location_assignment PIN_F30 -to max_jtag_tck
894
# set_location_assignment PIN_H28 -to max_jtag_tms
895
# set_location_assignment PIN_G28 -to fpga_jtag_tdi
896
# set_location_assignment PIN_J28 -to n245930246
897
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to hsma_rx_p
898
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to hsmb_clk_in_p
899
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to hsma_clk_in_p
900
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to hsmb_rx_p
901
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to enet_rx_p
902
# set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to enet_s_clkp
903
#set_location_assignment PIN_T33 -to clkin_50
904
#set_location_assignment PIN_B16 -to clkin_125
905
# set_location_assignment PIN_A28 -to enet_led_link1000
906
# set_location_assignment PIN_AA27 -to oled_sern
907
# set_location_assignment PIN_AE24 -to deskew_0_ae24
908
# set_location_assignment PIN_AD22 -to deskew_1_ad22
909
# set_location_assignment PIN_F30 -to fpga_jtag_tck
910
# set_location_assignment PIN_H28 -to fpga_jtag_tms
911
# set_location_assignment PIN_AP5 -to cpu_resetn
912
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_0_ae24
913
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_1_ad22
914
# set_instance_assignment -name IO_STANDARD "1.8 V" -to enet_led_link1000
915
#set_global_assignment -name VERILOG_FILE s3_host_top.v
916
#set_global_assignment -name TCL_SCRIPT_FILE s3_host_top_quartus.tcl
917
918
919
# ???
920
# set_location_assignment PIN_AJ11 -to speaker_out
921
# set_location_assignment PIN_T28 -to fpga_data[0]
922
# set_location_assignment PIN_T27 -to fpga_data[1]
923
# set_location_assignment PIN_R34 -to fpga_data[2]
924
# set_location_assignment PIN_R33 -to fpga_data[3]
925
# set_location_assignment PIN_T25 -to fpga_data[4]
926
 
927
 
928
# set_location_assignment PIN_R31 -to fpga_data[7]
929
# set_instance_assignment -name IO_STANDARD "2.5 V" -to speaker_out
930
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to fpga_data
931
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data
932
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[0]
933
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[1]
934
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[2]
935
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[3]
936
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[4]
937
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[5]
938
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[6]
939
# set_instance_assignment -name IO_STANDARD "1.8 V" -to fpga_data[7]
940
941
# set_location_assignment PIN_AE24 -to deskew_[0]
942
# set_location_assignment PIN_AD22 -to deskew_[1]
943
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_
944
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_[0]
945
# set_instance_assignment -name IO_STANDARD "1.8 V" -to deskew_[1]
946
947
948
# set_location_assignment PIN_AH29 -to fpga_conf_done
949
 
950
# set_location_assignment PIN_G29 -to fpga_jtag_tdo
951
# set_location_assignment PIN_AE26 -to fpga_nce
952
# set_location_assignment PIN_AE25 -to fpga_nconfig
953
# set_location_assignment PIN_AH28 -to fpga_nstatus
954
# set_location_assignment PIN_F30 -to jtag_tck
955
 
956
 
957
# set_location_assignment PIN_AG13 -to vref_dimm
958
# set_location_assignment PIN_H13 -to vref_qdrii
959
# set_location_assignment PIN_K10 -to msel[0]
960
# set_location_assignment PIN_J9 -to msel[1]
961
# set_location_assignment PIN_K9 -to msel[2]
962
# set_location_assignment PIN_D4 -to tempdiode_n
963
# set_location_assignment PIN_E5 -to tempdiode_p
964
965
# # User Dip Switch
966
# set_location_assignment PIN_B19 -to user_dipsw[0]
967
# set_location_assignment PIN_A19 -to user_dipsw[1]
968
# set_location_assignment PIN_C18 -to user_dipsw[2]
969
# set_location_assignment PIN_A20 -to user_dipsw[3]
970
# set_location_assignment PIN_K19 -to user_dipsw[4]
971
# set_location_assignment PIN_J19 -to user_dipsw[5]
972
# set_location_assignment PIN_L19 -to user_dipsw[6]
973
 
974
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw
975
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[0]
976
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[1]
977
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[2]
978
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[3]
979
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[4]
980
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[5]
981
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[6]
982
# set_instance_assignment -name IO_STANDARD "1.8 V" -to user_dipsw[7]
983
984
# # USB ???
985
# set_location_assignment PIN_Y28 -to usb_cmd_data
986
# set_location_assignment PIN_AH12 -to usb_empty
987
# set_location_assignment PIN_AE33 -to usb_fd[0]
988
# set_location_assignment PIN_AE31 -to usb_fd[1]
989
# set_location_assignment PIN_AC28 -to usb_fd[2]
990
# set_location_assignment PIN_AA24 -to usb_fd[3]
991
# set_location_assignment PIN_AF34 -to usb_fd[4]
992
 
993
# set_location_assignment PIN_AA25 -to usb_fd[6]
994
# set_location_assignment PIN_AE32 -to usb_fd[7]
995
# set_location_assignment PIN_AE11 -to usb_full
996
# set_location_assignment PIN_U1 -to usb_ifclk
997
# set_location_assignment PIN_N5 -to usb_ren
998
# set_location_assignment PIN_W11 -to usb_wen
999
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_cmd_data
1000
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_empty
1001
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd
1002
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[0]
1003
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[1]
1004
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[2]
1005
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[3]
1006
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[4]
1007
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[5]
1008
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[6]
1009
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_fd[7]
1010
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_full
1011
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_ifclk
1012
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_ren
1013
# set_instance_assignment -name IO_STANDARD "2.5 V" -to usb_wen
1014
1015
# # 7-SEG
1016
# set_location_assignment PIN_AE10 -to seven_seg_a
1017
# set_location_assignment PIN_AL5 -to seven_seg_b
1018
# set_location_assignment PIN_AC12 -to seven_seg_c
1019
# set_location_assignment PIN_AM5 -to seven_seg_d
1020
# set_location_assignment PIN_AK6 -to seven_seg_dp
1021
# set_location_assignment PIN_AF11 -to seven_seg_e
1022
# set_location_assignment PIN_AM6 -to seven_seg_f
1023
 
1024
# set_location_assignment PIN_AH11 -to seven_seg_minus
1025
# set_location_assignment PIN_AM4 -to seven_seg_sel[1]
1026
# set_location_assignment PIN_AE12 -to seven_seg_sel[2]
1027
# set_location_assignment PIN_AL4 -to seven_seg_sel[3]
1028
# set_location_assignment PIN_AH8 -to seven_seg_sel[4]
1029
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_a
1030
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_b
1031
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_c
1032
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_d
1033
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_dp
1034
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_e
1035
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_f
1036
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_g
1037
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_minus
1038
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel
1039
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel[1]
1040
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel[2]
1041
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel[3]
1042
# set_instance_assignment -name IO_STANDARD "2.5 V" -to seven_seg_sel[4]
1043
1044
# # Character LCD
1045
# set_location_assignment PIN_AD12 -to lcd_csn
1046
# set_location_assignment PIN_AP2 -to lcd_d_cn
1047
# set_location_assignment PIN_AJ8 -to lcd_data[0]
1048
# set_location_assignment PIN_AJ6 -to lcd_data[1]
1049
# set_location_assignment PIN_AD13 -to lcd_data[2]
1050
# set_location_assignment PIN_AJ7 -to lcd_data[3]
1051
# set_location_assignment PIN_AF10 -to lcd_data[4]
1052
 
1053
# set_location_assignment PIN_AN3 -to lcd_data[6]
1054
# set_location_assignment PIN_AK7 -to lcd_data[7]
1055
# set_location_assignment PIN_AL8 -to lcd_wen
1056
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_csn
1057
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_d_cn
1058
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data
1059
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[0]
1060
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[1]
1061
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[2]
1062
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[3]
1063
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[4]
1064
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[5]
1065
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[6]
1066
# set_instance_assignment -name IO_STANDARD "2.5 V" -to lcd_data[7]
1067
1068
# # Graphic LCD
1069
# set_location_assignment PIN_Y26 -to oled_bs1
1070
# set_location_assignment PIN_AE30 -to oled_csn
1071
# set_location_assignment PIN_AD26 -to oled_d_cn
1072
# set_location_assignment PIN_AB31 -to oled_data[0]
1073
# set_location_assignment PIN_AG32 -to oled_data[1]
1074
# set_location_assignment PIN_AB27 -to oled_data[2]
1075
# set_location_assignment PIN_AC32 -to oled_data[3]
1076
 
1077
# set_location_assignment PIN_AB30 -to oled_data[5]
1078
# set_location_assignment PIN_AC26 -to oled_data[6]
1079
# set_location_assignment PIN_AA30 -to oled_data[7]
1080
# set_location_assignment PIN_AG31 -to oled_e_rdn
1081
# set_location_assignment PIN_AP4 -to oled_rstn
1082
# set_location_assignment PIN_AA31 -to oled_wen
1083
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_bs1
1084
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_csn
1085
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_d_cn
1086
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data
1087
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[0]
1088
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[1]
1089
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[2]
1090
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[3]
1091
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[4]
1092
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[5]
1093
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[6]
1094
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_data[7]
1095
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_e_rdn
1096
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_rstn
1097
# set_instance_assignment -name IO_STANDARD "2.5 V" -to oled_wen
1098
1099
# # HSMC B
1100
# set_location_assignment PIN_V4 -to hsmb_clk_in0
1101
# set_location_assignment PIN_N3 -to hsmb_clk_in_n[1]
1102
# set_location_assignment PIN_U3 -to hsmb_clk_in_n[2]
1103
# set_location_assignment PIN_N4 -to hsmb_clk_in_p[1]
1104
# set_location_assignment PIN_U4 -to hsmb_clk_in_p[2]
1105
# set_location_assignment PIN_AC34 -to hsmb_clk_out0
1106
# set_location_assignment PIN_P5 -to hsmb_clk_out_n[1]
1107
 
1108
# set_location_assignment PIN_P6 -to hsmb_clk_out_p[1]
1109
# set_location_assignment PIN_R12 -to hsmb_clk_out_p[2]
1110
# set_location_assignment PIN_AB24 -to hsmb_d[0]
1111
# set_location_assignment PIN_AB25 -to hsmb_d[1]
1112
# set_location_assignment PIN_AF32 -to hsmb_d[2]
1113
# set_location_assignment PIN_AF31 -to hsmb_d[3]
1114
# set_location_assignment PIN_G28 -to hsmb_jtag_tdo
1115
# set_location_assignment PIN_AJ12 -to hsmb_rx_led
1116
# set_location_assignment PIN_R3 -to hsmb_rx_n[0]
1117
# set_location_assignment PIN_P3 -to hsmb_rx_n[1]
1118
# set_location_assignment PIN_R1 -to hsmb_rx_n[2]
1119
# set_location_assignment PIN_P1 -to hsmb_rx_n[3]
1120
# set_location_assignment PIN_N1 -to hsmb_rx_n[4]
1121
# set_location_assignment PIN_L1 -to hsmb_rx_n[5]
1122
# set_location_assignment PIN_K3 -to hsmb_rx_n[6]
1123
# set_location_assignment PIN_J3 -to hsmb_rx_n[7]
1124
# set_location_assignment PIN_J1 -to hsmb_rx_n[8]
1125
# set_location_assignment PIN_H1 -to hsmb_rx_n[9]
1126
# set_location_assignment PIN_G1 -to hsmb_rx_n[10]
1127
# set_location_assignment PIN_H3 -to hsmb_rx_n[11]
1128
# set_location_assignment PIN_E1 -to hsmb_rx_n[12]
1129
# set_location_assignment PIN_D1 -to hsmb_rx_n[13]
1130
# set_location_assignment PIN_D2 -to hsmb_rx_n[14]
1131
# set_location_assignment PIN_G4 -to hsmb_rx_n[15]
1132
# set_location_assignment PIN_F3 -to hsmb_rx_n[16]
1133
# set_location_assignment PIN_R4 -to hsmb_rx_p[0]
1134
# set_location_assignment PIN_P4 -to hsmb_rx_p[1]
1135
# set_location_assignment PIN_P2 -to hsmb_rx_p[2]
1136
# set_location_assignment PIN_N2 -to hsmb_rx_p[3]
1137
# set_location_assignment PIN_M1 -to hsmb_rx_p[4]
1138
# set_location_assignment PIN_L2 -to hsmb_rx_p[5]
1139
# set_location_assignment PIN_K4 -to hsmb_rx_p[6]
1140
# set_location_assignment PIN_J4 -to hsmb_rx_p[7]
1141
# set_location_assignment PIN_H2 -to hsmb_rx_p[8]
1142
# set_location_assignment PIN_G2 -to hsmb_rx_p[9]
1143
# set_location_assignment PIN_F1 -to hsmb_rx_p[10]
1144
# set_location_assignment PIN_H4 -to hsmb_rx_p[11]
1145
# set_location_assignment PIN_E2 -to hsmb_rx_p[12]
1146
# set_location_assignment PIN_C1 -to hsmb_rx_p[13]
1147
# set_location_assignment PIN_D3 -to hsmb_rx_p[14]
1148
# set_location_assignment PIN_G5 -to hsmb_rx_p[15]
1149
# set_location_assignment PIN_F4 -to hsmb_rx_p[16]
1150
# set_location_assignment PIN_AD31 -to hsmb_scl
1151
# set_location_assignment PIN_U11 -to hsmb_sda
1152
# set_location_assignment PIN_AG34 -to hsmb_tx_led
1153
# set_location_assignment PIN_P10 -to hsmb_tx_n[0]
1154
# set_location_assignment PIN_T8 -to hsmb_tx_n[1]
1155
# set_location_assignment PIN_U6 -to hsmb_tx_n[2]
1156
# set_location_assignment PIN_T4 -to hsmb_tx_n[3]
1157
# set_location_assignment PIN_R9 -to hsmb_tx_n[4]
1158
# set_location_assignment PIN_R6 -to hsmb_tx_n[5]
1159
# set_location_assignment PIN_N8 -to hsmb_tx_n[6]
1160
# set_location_assignment PIN_M6 -to hsmb_tx_n[7]
1161
# set_location_assignment PIN_L6 -to hsmb_tx_n[8]
1162
# set_location_assignment PIN_L4 -to hsmb_tx_n[9]
1163
# set_location_assignment PIN_K5 -to hsmb_tx_n[10]
1164
# set_location_assignment PIN_J6 -to hsmb_tx_n[11]
1165
# set_location_assignment PIN_H5 -to hsmb_tx_n[12]
1166
# set_location_assignment PIN_K7 -to hsmb_tx_n[13]
1167
# set_location_assignment PIN_L8 -to hsmb_tx_n[14]
1168
# set_location_assignment PIN_M9 -to hsmb_tx_n[15]
1169
# set_location_assignment PIN_N10 -to hsmb_tx_n[16]
1170
# set_location_assignment PIN_P11 -to hsmb_tx_p[0]
1171
# set_location_assignment PIN_T9 -to hsmb_tx_p[1]
1172
# set_location_assignment PIN_T7 -to hsmb_tx_p[2]
1173
# set_location_assignment PIN_T5 -to hsmb_tx_p[3]
1174
# set_location_assignment PIN_R10 -to hsmb_tx_p[4]
1175
# set_location_assignment PIN_R7 -to hsmb_tx_p[5]
1176
# set_location_assignment PIN_N9 -to hsmb_tx_p[6]
1177
# set_location_assignment PIN_M7 -to hsmb_tx_p[7]
1178
# set_location_assignment PIN_L7 -to hsmb_tx_p[8]
1179
# set_location_assignment PIN_L5 -to hsmb_tx_p[9]
1180
# set_location_assignment PIN_K6 -to hsmb_tx_p[10]
1181
# set_location_assignment PIN_J7 -to hsmb_tx_p[11]
1182
# set_location_assignment PIN_H6 -to hsmb_tx_p[12]
1183
# set_location_assignment PIN_K8 -to hsmb_tx_p[13]
1184
# set_location_assignment PIN_L9 -to hsmb_tx_p[14]
1185
# set_location_assignment PIN_M10 -to hsmb_tx_p[15]
1186
# set_location_assignment PIN_N11 -to hsmb_tx_p[16]
1187
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_in0
1188
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_in_n
1189
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_in_n[1]
1190
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_in_n[2]
1191
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_in_p
1192
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_in_p[1]
1193
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_in_p[2]
1194
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_out0
1195
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_out_n
1196
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_out_n[1]
1197
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_clk_out_n[2]
1198
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_out_p
1199
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_out_p[1]
1200
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_clk_out_p[2]
1201
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d
1202
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d[0]
1203
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d[1]
1204
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d[2]
1205
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_d[3]
1206
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_led
1207
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n
1208
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[0]
1209
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[1]
1210
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[2]
1211
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[3]
1212
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[4]
1213
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[5]
1214
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[6]
1215
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[7]
1216
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[8]
1217
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[9]
1218
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[10]
1219
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[11]
1220
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[12]
1221
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[13]
1222
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[14]
1223
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[15]
1224
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_rx_n[16]
1225
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p
1226
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[0]
1227
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[1]
1228
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[2]
1229
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[3]
1230
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[4]
1231
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[5]
1232
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[6]
1233
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[7]
1234
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[8]
1235
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[9]
1236
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[10]
1237
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[11]
1238
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[12]
1239
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[13]
1240
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[14]
1241
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[15]
1242
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_rx_p[16]
1243
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_scl
1244
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_sda
1245
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_led
1246
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n
1247
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[0]
1248
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[1]
1249
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[2]
1250
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[3]
1251
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[4]
1252
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[5]
1253
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[6]
1254
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[7]
1255
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[8]
1256
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[9]
1257
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[10]
1258
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[11]
1259
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[12]
1260
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[13]
1261
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[14]
1262
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[15]
1263
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsmb_tx_n[16]
1264
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p
1265
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[0]
1266
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[1]
1267
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[2]
1268
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[3]
1269
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[4]
1270
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[5]
1271
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[6]
1272
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[7]
1273
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[8]
1274
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[9]
1275
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[10]
1276
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[11]
1277
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[12]
1278
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[13]
1279
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[14]
1280
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[15]
1281
# set_instance_assignment -name IO_STANDARD LVDS -to hsmb_tx_p[16]
1282
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to hsmb_sda
1283
1284
# # HSMC A
1285
# set_location_assignment PIN_W10 -to hsma_clk_in0
1286
# set_location_assignment PIN_W3 -to hsma_clk_in_n[1]
1287
# set_location_assignment PIN_T1 -to hsma_clk_in_n[2]
1288
# set_location_assignment PIN_Y4 -to hsma_clk_in_p[1]
1289
# set_location_assignment PIN_T2 -to hsma_clk_in_p[2]
1290
# set_location_assignment PIN_AD28 -to hsma_clk_out0
1291
# set_location_assignment PIN_W9 -to hsma_clk_out_n[1]
1292
 
1293
# set_location_assignment PIN_V10 -to hsma_clk_out_p[1]
1294
# set_location_assignment PIN_W8 -to hsma_clk_out_p[2]
1295
# set_location_assignment PIN_AK9 -to hsma_d[0]
1296
# set_location_assignment PIN_AJ9 -to hsma_d[1]
1297
# set_location_assignment PIN_AL7 -to hsma_d[2]
1298
# set_location_assignment PIN_AL9 -to hsma_d[3]
1299
# set_location_assignment PIN_Y25 -to hsma_rx_led
1300
# set_location_assignment PIN_AJ3 -to hsma_rx_n[0]
1301
# set_location_assignment PIN_AG3 -to hsma_rx_n[1]
1302
# set_location_assignment PIN_AM1 -to hsma_rx_n[2]
1303
# set_location_assignment PIN_AL1 -to hsma_rx_n[3]
1304
# set_location_assignment PIN_AK1 -to hsma_rx_n[4]
1305
# set_location_assignment PIN_AJ1 -to hsma_rx_n[5]
1306
# set_location_assignment PIN_AF3 -to hsma_rx_n[6]
1307
# set_location_assignment PIN_AH1 -to hsma_rx_n[7]
1308
# set_location_assignment PIN_AF1 -to hsma_rx_n[8]
1309
# set_location_assignment PIN_AE1 -to hsma_rx_n[9]
1310
# set_location_assignment PIN_AE3 -to hsma_rx_n[10]
1311
# set_location_assignment PIN_AD1 -to hsma_rx_n[11]
1312
# set_location_assignment PIN_AC1 -to hsma_rx_n[12]
1313
# set_location_assignment PIN_AB1 -to hsma_rx_n[13]
1314
# set_location_assignment PIN_AB3 -to hsma_rx_n[14]
1315
# set_location_assignment PIN_AA3 -to hsma_rx_n[15]
1316
# set_location_assignment PIN_Y3 -to hsma_rx_n[16]
1317
# set_location_assignment PIN_AJ4 -to hsma_rx_p[0]
1318
# set_location_assignment PIN_AG4 -to hsma_rx_p[1]
1319
# set_location_assignment PIN_AM2 -to hsma_rx_p[2]
1320
# set_location_assignment PIN_AL2 -to hsma_rx_p[3]
1321
# set_location_assignment PIN_AJ2 -to hsma_rx_p[4]
1322
# set_location_assignment PIN_AH2 -to hsma_rx_p[5]
1323
# set_location_assignment PIN_AF4 -to hsma_rx_p[6]
1324
# set_location_assignment PIN_AG1 -to hsma_rx_p[7]
1325
# set_location_assignment PIN_AF2 -to hsma_rx_p[8]
1326
# set_location_assignment PIN_AE2 -to hsma_rx_p[9]
1327
# set_location_assignment PIN_AE4 -to hsma_rx_p[10]
1328
# set_location_assignment PIN_AC2 -to hsma_rx_p[11]
1329
# set_location_assignment PIN_AB2 -to hsma_rx_p[12]
1330
# set_location_assignment PIN_AA1 -to hsma_rx_p[13]
1331
# set_location_assignment PIN_AC4 -to hsma_rx_p[14]
1332
# set_location_assignment PIN_AB4 -to hsma_rx_p[15]
1333
# set_location_assignment PIN_AA4 -to hsma_rx_p[16]
1334
# set_location_assignment PIN_AA32 -to hsma_scl
1335
# set_location_assignment PIN_P8 -to hsma_sda
1336
# set_location_assignment PIN_AG29 -to hsma_tx_led
1337
# set_location_assignment PIN_AB10 -to hsma_tx_n[0]
1338
# set_location_assignment PIN_AC8 -to hsma_tx_n[1]
1339
# set_location_assignment PIN_AH4 -to hsma_tx_n[2]
1340
# set_location_assignment PIN_AE7 -to hsma_tx_n[3]
1341
# set_location_assignment PIN_AF5 -to hsma_tx_n[4]
1342
# set_location_assignment PIN_AD6 -to hsma_tx_n[5]
1343
# set_location_assignment PIN_AE5 -to hsma_tx_n[6]
1344
# set_location_assignment PIN_AD3 -to hsma_tx_n[7]
1345
# set_location_assignment PIN_AC5 -to hsma_tx_n[8]
1346
# set_location_assignment PIN_AB5 -to hsma_tx_n[9]
1347
# set_location_assignment PIN_AC7 -to hsma_tx_n[10]
1348
# set_location_assignment PIN_Y5 -to hsma_tx_n[11]
1349
# set_location_assignment PIN_AA6 -to hsma_tx_n[12]
1350
# set_location_assignment PIN_Y7 -to hsma_tx_n[13]
1351
# set_location_assignment PIN_Y9 -to hsma_tx_n[14]
1352
# set_location_assignment PIN_Y11 -to hsma_tx_n[15]
1353
# set_location_assignment PIN_AB11 -to hsma_tx_n[16]
1354
# set_location_assignment PIN_AC11 -to hsma_tx_p[0]
1355
# set_location_assignment PIN_AC9 -to hsma_tx_p[1]
1356
# set_location_assignment PIN_AH5 -to hsma_tx_p[2]
1357
# set_location_assignment PIN_AE8 -to hsma_tx_p[3]
1358
# set_location_assignment PIN_AF6 -to hsma_tx_p[4]
1359
# set_location_assignment PIN_AD7 -to hsma_tx_p[5]
1360
# set_location_assignment PIN_AE6 -to hsma_tx_p[6]
1361
# set_location_assignment PIN_AD4 -to hsma_tx_p[7]
1362
# set_location_assignment PIN_AC6 -to hsma_tx_p[8]
1363
# set_location_assignment PIN_AB6 -to hsma_tx_p[9]
1364
# set_location_assignment PIN_AB8 -to hsma_tx_p[10]
1365
# set_location_assignment PIN_Y6 -to hsma_tx_p[11]
1366
# set_location_assignment PIN_AA7 -to hsma_tx_p[12]
1367
# set_location_assignment PIN_Y8 -to hsma_tx_p[13]
1368
# set_location_assignment PIN_Y10 -to hsma_tx_p[14]
1369
# set_location_assignment PIN_W12 -to hsma_tx_p[15]
1370
# set_location_assignment PIN_AA12 -to hsma_tx_p[16]
1371
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_in0
1372
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_in_n
1373
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_in_n[1]
1374
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_in_n[2]
1375
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_in_p
1376
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_in_p[1]
1377
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_in_p[2]
1378
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_out0
1379
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_out_n
1380
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_out_n[1]
1381
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_clk_out_n[2]
1382
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_out_p
1383
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_out_p[1]
1384
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_clk_out_p[2]
1385
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d
1386
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d[0]
1387
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d[1]
1388
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d[2]
1389
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_d[3]
1390
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_led
1391
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n
1392
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[0]
1393
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[1]
1394
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[2]
1395
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[3]
1396
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[4]
1397
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[5]
1398
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[6]
1399
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[7]
1400
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[8]
1401
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[9]
1402
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[10]
1403
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[11]
1404
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[12]
1405
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[13]
1406
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[14]
1407
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[15]
1408
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_rx_n[16]
1409
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p
1410
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[0]
1411
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[1]
1412
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[2]
1413
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[3]
1414
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[4]
1415
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[5]
1416
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[6]
1417
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[7]
1418
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[8]
1419
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[9]
1420
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[10]
1421
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[11]
1422
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[12]
1423
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[13]
1424
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[14]
1425
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[15]
1426
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_rx_p[16]
1427
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_scl
1428
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_sda
1429
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_led
1430
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n
1431
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[0]
1432
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[1]
1433
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[2]
1434
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[3]
1435
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[4]
1436
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[5]
1437
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[6]
1438
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[7]
1439
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[8]
1440
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[9]
1441
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[10]
1442
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[11]
1443
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[12]
1444
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[13]
1445
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[14]
1446
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[15]
1447
# set_instance_assignment -name IO_STANDARD "2.5 V" -to hsma_tx_n[16]
1448
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p
1449
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[0]
1450
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[1]
1451
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[2]
1452
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[3]
1453
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[4]
1454
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[5]
1455
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[6]
1456
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[7]
1457
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[8]
1458
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[9]
1459
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[10]
1460
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[11]
1461
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[12]
1462
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[13]
1463
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[14]
1464
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[15]
1465
# set_instance_assignment -name IO_STANDARD LVDS -to hsma_tx_p[16]
1466
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to hsma_scl
1467
# set_instance_assignment -name TOGGLE_RATE "0 MHz" -to hsma_sda
1468
1469
# # QDRII
1470
# set_location_assignment PIN_C17 -to qdrii_a[0]
1471
# set_location_assignment PIN_C14 -to qdrii_a[1]
1472
# set_location_assignment PIN_C16 -to qdrii_a[2]
1473
# set_location_assignment PIN_A14 -to qdrii_a[3]
1474
# set_location_assignment PIN_A15 -to qdrii_a[4]
1475
# set_location_assignment PIN_F14 -to qdrii_a[5]
1476
# set_location_assignment PIN_F15 -to qdrii_a[6]
1477
 
1478
# set_location_assignment PIN_J15 -to qdrii_a[8]
1479
# set_location_assignment PIN_G16 -to qdrii_a[9]
1480
# set_location_assignment PIN_E14 -to qdrii_a[10]
1481
# set_location_assignment PIN_B14 -to qdrii_a[11]
1482
# set_location_assignment PIN_J16 -to qdrii_a[12]
1483
# set_location_assignment PIN_H16 -to qdrii_a[13]
1484
# set_location_assignment PIN_F12 -to qdrii_a[14]
1485
# set_location_assignment PIN_D14 -to qdrii_a[15]
1486
# set_location_assignment PIN_A10 -to qdrii_a[16]
1487
# set_location_assignment PIN_B13 -to qdrii_a[17]
1488
# set_location_assignment PIN_C15 -to qdrii_a[18]
1489
# set_location_assignment PIN_E17 -to qdrii_a[19]
1490
# set_location_assignment PIN_C11 -to qdrii_bwsn[0]
1491
# set_location_assignment PIN_D11 -to qdrii_bwsn[1]
1492
# set_location_assignment PIN_C4 -to qdrii_cq_n
1493
# set_location_assignment PIN_H11 -to qdrii_cq_p
1494
# set_location_assignment PIN_A9 -to qdrii_d[0]
1495
# set_location_assignment PIN_B10 -to qdrii_d[1]
1496
# set_location_assignment PIN_B11 -to qdrii_d[2]
1497
# set_location_assignment PIN_A11 -to qdrii_d[3]
1498
# set_location_assignment PIN_E11 -to qdrii_d[4]
1499
# set_location_assignment PIN_A12 -to qdrii_d[5]
1500
# set_location_assignment PIN_C12 -to qdrii_d[6]
1501
# set_location_assignment PIN_D12 -to qdrii_d[7]
1502
# set_location_assignment PIN_D13 -to qdrii_d[8]
1503
# set_location_assignment PIN_L14 -to qdrii_d[9]
1504
# set_location_assignment PIN_K15 -to qdrii_d[10]
1505
# set_location_assignment PIN_K13 -to qdrii_d[11]
1506
# set_location_assignment PIN_K14 -to qdrii_d[12]
1507
# set_location_assignment PIN_G13 -to qdrii_d[13]
1508
# set_location_assignment PIN_D10 -to qdrii_d[14]
1509
# set_location_assignment PIN_F11 -to qdrii_d[15]
1510
# set_location_assignment PIN_F13 -to qdrii_d[16]
1511
# set_location_assignment PIN_G12 -to qdrii_d[17]
1512
# set_location_assignment PIN_H14 -to qdrii_k_n
1513
# set_location_assignment PIN_J14 -to qdrii_k_p
1514
# set_location_assignment PIN_C3 -to qdrii_odt
1515
# set_location_assignment PIN_A3 -to qdrii_q[0]
1516
# set_location_assignment PIN_B4 -to qdrii_q[1]
1517
# set_location_assignment PIN_A4 -to qdrii_q[2]
1518
# set_location_assignment PIN_A5 -to qdrii_q[3]
1519
# set_location_assignment PIN_C6 -to qdrii_q[4]
1520
# set_location_assignment PIN_F8 -to qdrii_q[5]
1521
# set_location_assignment PIN_G9 -to qdrii_q[6]
1522
# set_location_assignment PIN_F9 -to qdrii_q[7]
1523
# set_location_assignment PIN_G10 -to qdrii_q[8]
1524
# set_location_assignment PIN_J12 -to qdrii_q[9]
1525
# set_location_assignment PIN_J11 -to qdrii_q[10]
1526
# set_location_assignment PIN_G8 -to qdrii_q[11]
1527
# set_location_assignment PIN_G11 -to qdrii_q[12]
1528
# set_location_assignment PIN_B2 -to qdrii_q[13]
1529
# set_location_assignment PIN_B5 -to qdrii_q[14]
1530
# set_location_assignment PIN_F6 -to qdrii_q[15]
1531
# set_location_assignment PIN_C5 -to qdrii_q[16]
1532
# set_location_assignment PIN_D6 -to qdrii_q[17]
1533
# set_location_assignment PIN_A2 -to qdrii_qvld
1534
# set_location_assignment PIN_D17 -to qdrii_rpsn
1535
# set_location_assignment PIN_K16 -to qdrii_wpsn
1536
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a
1537
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[0]
1538
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[1]
1539
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[2]
1540
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[3]
1541
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[4]
1542
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[5]
1543
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[6]
1544
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[7]
1545
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[8]
1546
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[9]
1547
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[10]
1548
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[11]
1549
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[12]
1550
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[13]
1551
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[14]
1552
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[15]
1553
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[16]
1554
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[17]
1555
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[18]
1556
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_a[19]
1557
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_bwsn
1558
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_bwsn[0]
1559
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_bwsn[1]
1560
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_cq_n
1561
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_cq_p
1562
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d
1563
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[0]
1564
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[1]
1565
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[2]
1566
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[3]
1567
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[4]
1568
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[5]
1569
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[6]
1570
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[7]
1571
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[8]
1572
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[9]
1573
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[10]
1574
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[11]
1575
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[12]
1576
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[13]
1577
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[14]
1578
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[15]
1579
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[16]
1580
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_d[17]
1581
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_k_n
1582
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_k_p
1583
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_odt
1584
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q
1585
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[0]
1586
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[1]
1587
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[2]
1588
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[3]
1589
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[4]
1590
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[5]
1591
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[6]
1592
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[7]
1593
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[8]
1594
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[9]
1595
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[10]
1596
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[11]
1597
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[12]
1598
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[13]
1599
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[14]
1600
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[15]
1601
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[16]
1602
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_q[17]
1603
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_qvld
1604
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_rpsn
1605
# set_instance_assignment -name IO_STANDARD "1.8-V HSTL CLASS I" -to qdrii_wpsn
1606
1607

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.