OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [altera-pci-ep1s25/] [leon3mp.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
# Pin & Location Assignments
2
# ==========================
3
set_global_assignment -name RESERVE_PIN "AS INPUT TRI-STATED"
4
set_location_assignment PIN_AL4 -to cpld_user\[0\]
5
set_location_assignment PIN_AG12 -to cpld_user\[1\]
6
set_location_assignment PIN_AD18 -to spgm\[0\]
7
set_location_assignment PIN_AG14 -to spgm\[1\]
8
set_location_assignment PIN_AA20 -to spgm\[2\]
9
set_location_assignment PIN_AG13 -to resetn
10
set_location_assignment PIN_AD23 -to user_dipsw\[0\]
11
set_location_assignment PIN_AE24 -to user_dipsw\[1\]
12
set_location_assignment PIN_AE23 -to user_dipsw\[2\]
13
set_location_assignment PIN_AF24 -to user_dipsw\[3\]
14
set_location_assignment PIN_AC22 -to user_dipsw\[4\]
15
set_location_assignment PIN_AG24 -to user_dipsw\[5\]
16
set_location_assignment PIN_AB22 -to user_dipsw\[6\]
17
set_location_assignment PIN_AF23 -to user_dipsw\[7\]
18
set_location_assignment PIN_AK28 -to user_led\[0\]
19
set_location_assignment PIN_AH28 -to user_led\[1\]
20
set_location_assignment PIN_AK30 -to user_led\[2\]
21
set_location_assignment PIN_AJ28 -to user_led\[3\]
22
set_location_assignment PIN_AJ29 -to user_led\[4\]
23
set_location_assignment PIN_AK29 -to user_led\[5\]
24
set_location_assignment PIN_AL30 -to user_led\[6\]
25
set_location_assignment PIN_AL29 -to user_led\[7\]
26
set_location_assignment PIN_AG9 -to user_pb1
27
set_location_assignment PIN_AM5 -to user_pb2
28
set_location_assignment PIN_AG22 -to user_resetn
29
set_location_assignment PIN_H20 -to a\[0\]
30
set_location_assignment PIN_H13 -to a\[10\]
31
set_location_assignment PIN_H14 -to a\[11\]
32
set_location_assignment PIN_F13 -to a\[12\]
33
set_location_assignment PIN_F12 -to a\[13\]
34
set_location_assignment PIN_H19 -to a\[1\]
35
set_location_assignment PIN_G23 -to a\[2\]
36
set_location_assignment PIN_G21 -to a\[3\]
37
set_location_assignment PIN_G20 -to a\[4\]
38
set_location_assignment PIN_F23 -to a\[5\]
39
set_location_assignment PIN_F20 -to a\[6\]
40
set_location_assignment PIN_F19 -to a\[7\]
41
set_location_assignment PIN_H11 -to a\[8\]
42
set_location_assignment PIN_H12 -to a\[9\]
43
set_location_assignment PIN_AD12 -to pci_ack64
44
set_location_assignment PIN_AE12 -to pci_ad\[0\]
45
set_location_assignment PIN_AM11 -to pci_ad\[10\]
46
set_location_assignment PIN_AG10 -to pci_ad\[11\]
47
set_location_assignment PIN_AJ10 -to pci_ad\[12\]
48
set_location_assignment PIN_AK10 -to pci_ad\[13\]
49
set_location_assignment PIN_AK9 -to pci_ad\[14\]
50
set_location_assignment PIN_AC9 -to pci_ad\[15\]
51
set_location_assignment PIN_AK8 -to pci_ad\[16\]
52
set_location_assignment PIN_AL8 -to pci_ad\[17\]
53
set_location_assignment PIN_AM8 -to pci_ad\[18\]
54
set_location_assignment PIN_AJ8 -to pci_ad\[19\]
55
set_location_assignment PIN_AJ12 -to pci_ad\[1\]
56
set_location_assignment PIN_AJ7 -to pci_ad\[20\]
57
set_location_assignment PIN_AK7 -to pci_ad\[21\]
58
set_location_assignment PIN_AL7 -to pci_ad\[22\]
59
set_location_assignment PIN_AM7 -to pci_ad\[23\]
60
set_location_assignment PIN_AL6 -to pci_ad\[24\]
61
set_location_assignment PIN_AM6 -to pci_ad\[25\]
62
set_location_assignment PIN_AH5 -to pci_ad\[26\]
63
set_location_assignment PIN_AJ5 -to pci_ad\[27\]
64
set_location_assignment PIN_AK5 -to pci_ad\[28\]
65
set_location_assignment PIN_AL5 -to pci_ad\[29\]
66
set_location_assignment PIN_AK12 -to pci_ad\[2\]
67
set_location_assignment PIN_AJ4 -to pci_ad\[30\]
68
set_location_assignment PIN_AK4 -to pci_ad\[31\]
69
set_location_assignment PIN_AK22 -to pci_ad\[32\]
70
set_location_assignment PIN_AL22 -to pci_ad\[33\]
71
set_location_assignment PIN_AM22 -to pci_ad\[34\]
72
set_location_assignment PIN_AJ21 -to pci_ad\[35\]
73
set_location_assignment PIN_AK21 -to pci_ad\[36\]
74
set_location_assignment PIN_AL21 -to pci_ad\[37\]
75
set_location_assignment PIN_AH20 -to pci_ad\[38\]
76
set_location_assignment PIN_AJ20 -to pci_ad\[39\]
77
set_location_assignment PIN_AL12 -to pci_ad\[3\]
78
set_location_assignment PIN_AK20 -to pci_ad\[40\]
79
set_location_assignment PIN_AL20 -to pci_ad\[41\]
80
set_location_assignment PIN_AM20 -to pci_ad\[42\]
81
set_location_assignment PIN_AB19 -to pci_ad\[43\]
82
set_location_assignment PIN_AD19 -to pci_ad\[44\]
83
set_location_assignment PIN_AA18 -to pci_ad\[45\]
84
set_location_assignment PIN_AH18 -to pci_ad\[46\]
85
set_location_assignment PIN_AJ18 -to pci_ad\[47\]
86
set_location_assignment PIN_AK18 -to pci_ad\[48\]
87
set_location_assignment PIN_AA15 -to pci_ad\[49\]
88
set_location_assignment PIN_AB11 -to pci_ad\[4\]
89
set_location_assignment PIN_AB15 -to pci_ad\[50\]
90
set_location_assignment PIN_AC15 -to pci_ad\[51\]
91
set_location_assignment PIN_AD15 -to pci_ad\[52\]
92
set_location_assignment PIN_AA14 -to pci_ad\[53\]
93
set_location_assignment PIN_AB14 -to pci_ad\[54\]
94
set_location_assignment PIN_AD14 -to pci_ad\[55\]
95
set_location_assignment PIN_AE14 -to pci_ad\[56\]
96
set_location_assignment PIN_AK14 -to pci_ad\[57\]
97
set_location_assignment PIN_AL14 -to pci_ad\[58\]
98
set_location_assignment PIN_AB13 -to pci_ad\[59\]
99
set_location_assignment PIN_AE11 -to pci_ad\[5\]
100
set_location_assignment PIN_AC13 -to pci_ad\[60\]
101
set_location_assignment PIN_AD13 -to pci_ad\[61\]
102
set_location_assignment PIN_AE13 -to pci_ad\[62\]
103
set_location_assignment PIN_AA12 -to pci_ad\[63\]
104
set_location_assignment PIN_AG11 -to pci_ad\[6\]
105
set_location_assignment PIN_AH11 -to pci_ad\[7\]
106
set_location_assignment PIN_AK11 -to pci_ad\[8\]
107
set_location_assignment PIN_AL11 -to pci_ad\[9\]
108
set_location_assignment PIN_L21 -to pci_ba\[0\]
109
set_location_assignment PIN_J22 -to pci_ba\[1\]
110
set_location_assignment PIN_J23 -to pci_ba\[2\]
111
set_location_assignment PIN_H23 -to cas_n
112
set_location_assignment PIN_AF10 -to pci_cbe\[0\]
113
set_location_assignment PIN_AD9 -to pci_cbe\[1\]
114
set_location_assignment PIN_AH7 -to pci_cbe\[2\]
115
set_location_assignment PIN_AJ6 -to pci_cbe\[3\]
116
set_location_assignment PIN_AJ13 -to pci_cbe\[4\]
117
set_location_assignment PIN_AK13 -to pci_cbe\[5\]
118
set_location_assignment PIN_AL13 -to pci_cbe\[6\]
119
set_location_assignment PIN_AM13 -to pci_cbe\[7\]
120
set_location_assignment PIN_C14 -to cke\[0\]
121
set_location_assignment PIN_B14 -to cke\[1\]
122
set_location_assignment PIN_AM15 -to pci_clk
123
set_location_assignment PIN_F24 -to cs_n\[0\]
124
set_location_assignment PIN_G24 -to cs_n\[1\]
125
set_location_assignment PIN_B16 -to ddr_dclk0
126
set_location_assignment PIN_B17 -to ddr_dclk1
127
set_location_assignment PIN_B18 -to ddr_dclk2
128
set_location_assignment PIN_B15 -to ddr_refclk_fbin
129
set_location_assignment PIN_D18 -to ddr_refclk_fbout
130
set_location_assignment PIN_AL9 -to pci_devsel
131
set_location_assignment PIN_F7 -to dm\[0\]
132
set_location_assignment PIN_F8 -to dm\[1\]
133
set_location_assignment PIN_F9 -to dm\[2\]
134
set_location_assignment PIN_F10 -to dm\[3\]
135
set_location_assignment PIN_D29 -to dm\[4\]
136
set_location_assignment PIN_D28 -to dm\[5\]
137
set_location_assignment PIN_C30 -to dm\[6\]
138
set_location_assignment PIN_E28 -to dm\[7\]
139
set_location_assignment PIN_G12 -to dm\[8\]
140
set_location_assignment PIN_A11 -to dp\[0\]
141
set_location_assignment PIN_B12 -to dp\[1\]
142
set_location_assignment PIN_C12 -to dp\[2\]
143
set_location_assignment PIN_C13 -to dp\[3\]
144
set_location_assignment PIN_D13 -to dp\[4\]
145
set_location_assignment PIN_E13 -to dp\[5\]
146
set_location_assignment PIN_A13 -to dp\[6\]
147
set_location_assignment PIN_B13 -to dp\[7\]
148
set_location_assignment PIN_D5 -to dq\[0\]
149
set_location_assignment PIN_B5 -to dq\[10\]
150
set_location_assignment PIN_C7 -to dq\[11\]
151
set_location_assignment PIN_A5 -to dq\[12\]
152
set_location_assignment PIN_D7 -to dq\[13\]
153
set_location_assignment PIN_A6 -to dq\[14\]
154
set_location_assignment PIN_B6 -to dq\[15\]
155
set_location_assignment PIN_B7 -to dq\[16\]
156
set_location_assignment PIN_D8 -to dq\[17\]
157
set_location_assignment PIN_B8 -to dq\[18\]
158
set_location_assignment PIN_E9 -to dq\[19\]
159
set_location_assignment PIN_C3 -to dq\[1\]
160
set_location_assignment PIN_A8 -to dq\[20\]
161
set_location_assignment PIN_C9 -to dq\[21\]
162
set_location_assignment PIN_C8 -to dq\[22\]
163
set_location_assignment PIN_D9 -to dq\[23\]
164
set_location_assignment PIN_E11 -to dq\[24\]
165
set_location_assignment PIN_B9 -to dq\[25\]
166
set_location_assignment PIN_D10 -to dq\[26\]
167
set_location_assignment PIN_C10 -to dq\[27\]
168
set_location_assignment PIN_A9 -to dq\[28\]
169
set_location_assignment PIN_B11 -to dq\[29\]
170
set_location_assignment PIN_E5 -to dq\[2\]
171
set_location_assignment PIN_C11 -to dq\[30\]
172
set_location_assignment PIN_B10 -to dq\[31\]
173
set_location_assignment PIN_A20 -to dq\[32\]
174
set_location_assignment PIN_B20 -to dq\[33\]
175
set_location_assignment PIN_C20 -to dq\[34\]
176
set_location_assignment PIN_E20 -to dq\[35\]
177
set_location_assignment PIN_B21 -to dq\[36\]
178
set_location_assignment PIN_C21 -to dq\[37\]
179
set_location_assignment PIN_D21 -to dq\[38\]
180
set_location_assignment PIN_A22 -to dq\[39\]
181
set_location_assignment PIN_C4 -to dq\[3\]
182
set_location_assignment PIN_B22 -to dq\[40\]
183
set_location_assignment PIN_C22 -to dq\[41\]
184
set_location_assignment PIN_B23 -to dq\[42\]
185
set_location_assignment PIN_C23 -to dq\[43\]
186
set_location_assignment PIN_A24 -to dq\[44\]
187
set_location_assignment PIN_E22 -to dq\[45\]
188
set_location_assignment PIN_B24 -to dq\[46\]
189
set_location_assignment PIN_D23 -to dq\[47\]
190
set_location_assignment PIN_D24 -to dq\[48\]
191
set_location_assignment PIN_A25 -to dq\[49\]
192
set_location_assignment PIN_D4 -to dq\[4\]
193
set_location_assignment PIN_C24 -to dq\[50\]
194
set_location_assignment PIN_B25 -to dq\[51\]
195
set_location_assignment PIN_C25 -to dq\[52\]
196
set_location_assignment PIN_D25 -to dq\[53\]
197
set_location_assignment PIN_A26 -to dq\[54\]
198
set_location_assignment PIN_E24 -to dq\[55\]
199
set_location_assignment PIN_C26 -to dq\[56\]
200
set_location_assignment PIN_A28 -to dq\[57\]
201
set_location_assignment PIN_A27 -to dq\[58\]
202
set_location_assignment PIN_D26 -to dq\[59\]
203
set_location_assignment PIN_A4 -to dq\[5\]
204
set_location_assignment PIN_C27 -to dq\[60\]
205
set_location_assignment PIN_B28 -to dq\[61\]
206
set_location_assignment PIN_D27 -to dq\[62\]
207
set_location_assignment PIN_E26 -to dq\[63\]
208
set_location_assignment PIN_B4 -to dq\[6\]
209
set_location_assignment PIN_B3 -to dq\[7\]
210
set_location_assignment PIN_D6 -to dq\[8\]
211
set_location_assignment PIN_C6 -to dq\[9\]
212
set_location_assignment PIN_C5 -to dqs\[0\]
213
set_location_assignment PIN_E7 -to dqs\[1\]
214
set_location_assignment PIN_A7 -to dqs\[2\]
215
set_location_assignment PIN_D11 -to dqs\[3\]
216
set_location_assignment PIN_D20 -to dqs\[4\]
217
set_location_assignment PIN_D22 -to dqs\[5\]
218
set_location_assignment PIN_B26 -to dqs\[6\]
219
set_location_assignment PIN_B27 -to dqs\[7\]
220
set_location_assignment PIN_AM27 -to flash_CEn
221
set_location_assignment PIN_AK26 -to flash_OEn
222
set_location_assignment PIN_AM28 -to flash_WEn
223
set_location_assignment PIN_AJ26 -to flash_addr\[0\]
224
set_location_assignment PIN_AJ24 -to flash_addr\[10\]
225
set_location_assignment PIN_AJ25 -to flash_addr\[11\]
226
set_location_assignment PIN_AK25 -to flash_addr\[12\]
227
set_location_assignment PIN_AL25 -to flash_addr\[13\]
228
set_location_assignment PIN_AK24 -to flash_addr\[14\]
229
set_location_assignment PIN_AM25 -to flash_addr\[15\]
230
set_location_assignment PIN_AM26 -to flash_addr\[16\]
231
set_location_assignment PIN_AJ22 -to flash_addr\[17\]
232
set_location_assignment PIN_AJ23 -to flash_addr\[18\]
233
set_location_assignment PIN_AL24 -to flash_addr\[19\]
234
set_location_assignment PIN_AK27 -to flash_addr\[1\]
235
set_location_assignment PIN_AH22 -to flash_addr\[20\]
236
set_location_assignment PIN_AM24 -to flash_addr\[21\]
237
set_location_assignment PIN_AL28 -to flash_addr\[2\]
238
set_location_assignment PIN_AJ27 -to flash_addr\[3\]
239
set_location_assignment PIN_AH26 -to flash_addr\[4\]
240
set_location_assignment PIN_AL27 -to flash_addr\[5\]
241
set_location_assignment PIN_AC20 -to flash_addr\[6\]
242
set_location_assignment PIN_AH19 -to flash_addr\[7\]
243
set_location_assignment PIN_AL26 -to flash_addr\[8\]
244
set_location_assignment PIN_AH24 -to flash_addr\[9\]
245
set_location_assignment PIN_AA21 -to flash_d15am1
246
set_location_assignment PIN_E14 -to flash_data\[0\]
247
set_location_assignment PIN_F14 -to flash_data\[1\]
248
set_location_assignment PIN_F15 -to flash_data\[2\]
249
set_location_assignment PIN_C16 -to flash_data\[3\]
250
set_location_assignment PIN_G19 -to flash_data\[4\]
251
set_location_assignment PIN_J19 -to flash_data\[5\]
252
set_location_assignment PIN_K19 -to flash_data\[6\]
253
set_location_assignment PIN_J20 -to flash_data\[7\]
254
set_location_assignment PIN_AE21 -to flash_reset
255
set_location_assignment PIN_AM9 -to pci_frame
256
set_location_assignment PIN_AL3 -to pci_gnt
257
set_location_assignment PIN_AK6 -to pci_idsel
258
set_location_assignment PIN_AM4 -to pci_irq
259
set_location_assignment PIN_AC18 -to pci_irdy
260
set_location_assignment PIN_AJ9 -to pci_lock
261
set_location_assignment PIN_A19 -to clk
262
set_location_assignment PIN_AE9 -to pci_par
263
set_location_assignment PIN_AH13 -to pci_par64
264
set_location_assignment PIN_AH9 -to pci_perr
265
set_location_assignment PIN_H22 -to pci_ras_n
266
set_location_assignment PIN_AC12 -to pci_req64
267
set_location_assignment PIN_AK3 -to pci_req
268
set_location_assignment PIN_AL19 -to pci_rst
269
set_location_assignment PIN_AF9 -to pci_serr
270
set_location_assignment PIN_AL10 -to pci_stop
271
set_location_assignment PIN_AL15 -to pci_trdy
272
set_location_assignment PIN_J24 -to we_n
273
 
274
# Analysis & Synthesis Assignments
275
# ================================
276
set_global_assignment -name FAMILY Stratix
277
set_global_assignment -name TOP_LEVEL_ENTITY REPLACE_WITH_TOP_LEVEL_NAME
278
 
279
# Fitter Assignments
280
# ==================
281
set_global_assignment -name DEVICE EP1S25F1020C5
282
set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "FAST PASSIVE PARALLEL"
283
set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "PASSIVE SERIAL"
284
set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
285
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
286
set_global_assignment -name CRC_ERROR_CHECKING OFF
287
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
288
set_global_assignment -name OPTIMIZE_TIMING "NORMAL COMPILATION"
289
set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION NEVER
290
set_global_assignment -name FITTER_EFFORT "FAST FIT"
291
set_global_assignment -name APEX_FITTER_TYPE ADVANCED
292
set_global_assignment -name ROUTING_BACK_ANNOTATION_MODE OFF
293
set_instance_assignment -name PRESERVE_HIERARCHICAL_BOUNDARY FIRM -to "ahbrom:\\bpromgen:brom"
294
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[0\]
295
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[10\]
296
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[11\]
297
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[12\]
298
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[13\]
299
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[1\]
300
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[2\]
301
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[3\]
302
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[4\]
303
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[5\]
304
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[6\]
305
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[7\]
306
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[8\]
307
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to a\[9\]
308
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ack64
309
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[0\]
310
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[10\]
311
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[11\]
312
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[12\]
313
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[13\]
314
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[14\]
315
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[15\]
316
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[16\]
317
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[17\]
318
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[18\]
319
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[19\]
320
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[1\]
321
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[20\]
322
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[21\]
323
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[22\]
324
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[23\]
325
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[24\]
326
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[25\]
327
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[26\]
328
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[27\]
329
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[28\]
330
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[29\]
331
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[2\]
332
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[30\]
333
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[31\]
334
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[32\]
335
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[33\]
336
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[34\]
337
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[35\]
338
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[36\]
339
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[37\]
340
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[38\]
341
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[39\]
342
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[3\]
343
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[40\]
344
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[41\]
345
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[42\]
346
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[43\]
347
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[44\]
348
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[45\]
349
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[46\]
350
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[47\]
351
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[48\]
352
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[49\]
353
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[4\]
354
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[50\]
355
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[51\]
356
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[52\]
357
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[53\]
358
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[54\]
359
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[55\]
360
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[56\]
361
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[57\]
362
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[58\]
363
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[59\]
364
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[5\]
365
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[60\]
366
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[61\]
367
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[62\]
368
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[63\]
369
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[6\]
370
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[7\]
371
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[8\]
372
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_ad\[9\]
373
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ba\[0\]
374
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ba\[1\]
375
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ba\[2\]
376
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to cas_n
377
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_cbe\[0\]
378
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_cbe\[1\]
379
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_cbe\[2\]
380
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_cbe\[3\]
381
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_cbe\[4\]
382
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_cbe\[5\]
383
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_cbe\[6\]
384
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_cbe\[7\]
385
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to cke\[0\]
386
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to cke\[1\]
387
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to clk
388
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to cs_n\[0\]
389
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to cs_n\[1\]
390
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL SSTL-2" -to ddr_dclk0
391
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL SSTL-2" -to ddr_dclk1
392
set_instance_assignment -name IO_STANDARD "DIFFERENTIAL SSTL-2" -to ddr_dclk2
393
set_instance_assignment -name IO_STANDARD 2.5V -to ddr_refclk_fbin
394
set_instance_assignment -name IO_STANDARD 2.5V -to ddr_refclk_fbout
395
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to devseln
396
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dm\[0\]
397
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dm\[1\]
398
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dm\[2\]
399
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dm\[3\]
400
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dm\[4\]
401
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dm\[5\]
402
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dm\[6\]
403
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dm\[7\]
404
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dm\[8\]
405
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dp\[0\]
406
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dp\[1\]
407
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dp\[2\]
408
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dp\[3\]
409
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dp\[4\]
410
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dp\[5\]
411
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dp\[6\]
412
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dp\[7\]
413
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[0\]
414
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[10\]
415
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[11\]
416
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[12\]
417
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[13\]
418
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[14\]
419
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[15\]
420
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[16\]
421
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[17\]
422
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[18\]
423
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[19\]
424
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[1\]
425
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[20\]
426
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[21\]
427
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[22\]
428
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[23\]
429
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[24\]
430
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[25\]
431
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[26\]
432
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[27\]
433
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[28\]
434
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[29\]
435
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[2\]
436
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[30\]
437
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[31\]
438
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[32\]
439
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[33\]
440
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[34\]
441
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[35\]
442
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[36\]
443
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[37\]
444
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[38\]
445
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[39\]
446
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[3\]
447
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[40\]
448
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[41\]
449
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[42\]
450
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[43\]
451
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[44\]
452
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[45\]
453
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[46\]
454
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[47\]
455
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[48\]
456
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[49\]
457
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[4\]
458
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[50\]
459
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[51\]
460
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[52\]
461
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[53\]
462
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[54\]
463
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[55\]
464
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[56\]
465
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[57\]
466
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[58\]
467
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[59\]
468
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[5\]
469
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[60\]
470
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[61\]
471
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[62\]
472
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[63\]
473
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[6\]
474
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[7\]
475
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[8\]
476
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dq\[9\]
477
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dqs\[0\]
478
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dqs\[1\]
479
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dqs\[2\]
480
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dqs\[3\]
481
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dqs\[4\]
482
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dqs\[5\]
483
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dqs\[6\]
484
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to dqs\[7\]
485
set_instance_assignment -name IO_STANDARD LVTTL -to flash_CEn
486
set_instance_assignment -name IO_STANDARD LVTTL -to flash_OEn
487
set_instance_assignment -name IO_STANDARD LVTTL -to flash_WEn
488
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[0\]
489
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[10\]
490
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[11\]
491
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[12\]
492
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[13\]
493
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[14\]
494
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[15\]
495
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[16\]
496
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[17\]
497
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[18\]
498
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[19\]
499
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[1\]
500
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[20\]
501
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[21\]
502
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[2\]
503
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[3\]
504
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[4\]
505
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[5\]
506
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[6\]
507
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[7\]
508
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[8\]
509
set_instance_assignment -name IO_STANDARD LVTTL -to flash_addr\[9\]
510
set_instance_assignment -name IO_STANDARD 2.5V -to flash_data\[0\]
511
set_instance_assignment -name IO_STANDARD LVTTL -to flash_data\[10\]
512
set_instance_assignment -name IO_STANDARD LVTTL -to flash_data\[11\]
513
set_instance_assignment -name IO_STANDARD LVTTL -to flash_data\[12\]
514
set_instance_assignment -name IO_STANDARD LVTTL -to flash_data\[13\]
515
set_instance_assignment -name IO_STANDARD LVTTL -to flash_data\[14\]
516
set_instance_assignment -name IO_STANDARD LVTTL -to flash_data\[15\]
517
set_instance_assignment -name IO_STANDARD 2.5V -to flash_data\[1\]
518
set_instance_assignment -name IO_STANDARD 2.5V -to flash_data\[2\]
519
set_instance_assignment -name IO_STANDARD 2.5V -to flash_data\[3\]
520
set_instance_assignment -name IO_STANDARD 2.5V -to flash_data\[4\]
521
set_instance_assignment -name IO_STANDARD 2.5V -to flash_data\[5\]
522
set_instance_assignment -name IO_STANDARD 2.5V -to flash_data\[6\]
523
set_instance_assignment -name IO_STANDARD 2.5V -to flash_data\[7\]
524
set_instance_assignment -name IO_STANDARD LVTTL -to flash_data\[8\]
525
set_instance_assignment -name IO_STANDARD LVTTL -to flash_data\[9\]
526
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_framen
527
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_gnt
528
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_idsel
529
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_irq
530
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_irdy
531
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_lock
532
set_instance_assignment -name IO_STANDARD 2.5V -to clk
533
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_par
534
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_par64
535
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_perr
536
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to ras_n
537
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_req64
538
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_req
539
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_rst
540
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_serr
541
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_stop
542
set_instance_assignment -name IO_STANDARD "3.3-V PCI" -to pci_trdy
543
set_instance_assignment -name IO_STANDARD "SSTL-2 CLASS I" -to we_n
544
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL
545
 
546
# LogicLock Region Assignments
547
# ============================
548
set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT off
549
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.