OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [ge-hpe-mini-lattice2/] [leon3mini.prf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
SCHEMATIC START ;
2
LOCATE COMP "clk" SITE "A10" ;
3
LOCATE COMP "resetn" SITE "H6" ;
4
LOCATE COMP "resoutn" SITE "B3" ;
5
LOCATE COMP "address_2" SITE "AB20" ;
6
LOCATE COMP "address_3" SITE "AA20" ;
7
LOCATE COMP "address_4" SITE "AB19" ;
8
LOCATE COMP "address_5" SITE "AA19" ;
9
LOCATE COMP "address_6" SITE "Y19" ;
10
LOCATE COMP "address_7" SITE "AB18" ;
11
LOCATE COMP "address_8" SITE "AA18" ;
12
LOCATE COMP "address_9" SITE "Y18" ;
13
LOCATE COMP "address_10" SITE "W18" ;
14
LOCATE COMP "address_11" SITE "AB17" ;
15
LOCATE COMP "address_12" SITE "AA17" ;
16
LOCATE COMP "address_13" SITE "Y17" ;
17
LOCATE COMP "address_14" SITE "W17" ;
18
LOCATE COMP "address_15" SITE "V17" ;
19
LOCATE COMP "address_16" SITE "U17" ;
20
LOCATE COMP "address_17" SITE "AB16" ;
21
LOCATE COMP "address_18" SITE "AA16" ;
22
LOCATE COMP "address_19" SITE "Y16" ;
23
LOCATE COMP "address_20" SITE "W16" ;
24
LOCATE COMP "address_21" SITE "V16" ;
25
LOCATE COMP "address_22" SITE "U16" ;
26
LOCATE COMP "address_23" SITE "AB15" ;
27
LOCATE COMP "address_24" SITE "AA15" ;
28
LOCATE COMP "data_0" SITE "Y15" ;
29
LOCATE COMP "data_1" SITE "W15" ;
30
LOCATE COMP "data_2" SITE "V15" ;
31
LOCATE COMP "data_3" SITE "U15" ;
32
LOCATE COMP "data_4" SITE "AB14" ;
33
LOCATE COMP "data_5" SITE "AA14" ;
34
LOCATE COMP "data_6" SITE "Y14" ;
35
LOCATE COMP "data_7" SITE "W14" ;
36
LOCATE COMP "data_8" SITE "AA13" ;
37
LOCATE COMP "data_9" SITE "Y13" ;
38
LOCATE COMP "data_10" SITE "AA11" ;
39
LOCATE COMP "data_11" SITE "Y11" ;
40
LOCATE COMP "data_12" SITE "W11" ;
41
LOCATE COMP "data_13" SITE "AA10" ;
42
LOCATE COMP "data_14" SITE "Y10" ;
43
LOCATE COMP "data_15" SITE "W10" ;
44
LOCATE COMP "data_16" SITE "V10" ;
45
LOCATE COMP "data_17" SITE "AB9" ;
46
LOCATE COMP "data_18" SITE "AA9" ;
47
LOCATE COMP "data_19" SITE "Y9" ;
48
LOCATE COMP "data_20" SITE "W9" ;
49
LOCATE COMP "data_21" SITE "V9" ;
50
LOCATE COMP "data_22" SITE "AB8" ;
51
LOCATE COMP "data_23" SITE "AA8" ;
52
LOCATE COMP "data_24" SITE "Y8" ;
53
LOCATE COMP "data_25" SITE "W8" ;
54
LOCATE COMP "data_26" SITE "V8" ;
55
LOCATE COMP "data_27" SITE "AB7" ;
56
LOCATE COMP "data_28" SITE "AA7" ;
57
LOCATE COMP "data_29" SITE "Y7" ;
58
LOCATE COMP "data_30" SITE "W7" ;
59
LOCATE COMP "data_31" SITE "V7" ;
60
LOCATE COMP "serrx" SITE "M2" ;
61
LOCATE COMP "sertx" SITE "L1" ;
62
LOCATE COMP "emdio" SITE "K4" ;
63
LOCATE COMP "etx_clk" SITE "J1" ;
64
LOCATE COMP "erx_clk" SITE "K1" ;
65
LOCATE COMP "erxd_0" SITE "G1" ;
66
LOCATE COMP "erxd_1" SITE "G2" ;
67
LOCATE COMP "erxd_2" SITE "G3" ;
68
LOCATE COMP "erxd_3" SITE "F2" ;
69
LOCATE COMP "erx_dv" SITE "J4" ;
70
LOCATE COMP "erx_er" SITE "J5" ;
71
LOCATE COMP "erx_col" SITE "K2" ;
72
LOCATE COMP "erx_crs" SITE "K3" ;
73
LOCATE COMP "etxd_0" SITE "H1" ;
74
LOCATE COMP "etxd_1" SITE "H2" ;
75
LOCATE COMP "etxd_2" SITE "H3" ;
76
LOCATE COMP "etxd_3" SITE "H4" ;
77
LOCATE COMP "etx_en" SITE "J3" ;
78
LOCATE COMP "etx_er" SITE "J2" ;
79
LOCATE COMP "emdc" SITE "K5" ;
80
LOCATE COMP "hpiaddr_0" SITE "C10" ;
81
LOCATE COMP "hpiaddr_1" SITE "D10" ;
82
LOCATE COMP "hpicsn" SITE "E10" ;
83
LOCATE COMP "hpiwrn" SITE "F10" ;
84
LOCATE COMP "hpirdn" SITE "G10" ;
85
LOCATE COMP "hpidata_0" SITE "B7" ;
86
LOCATE COMP "hpidata_1" SITE "C7" ;
87
LOCATE COMP "hpidata_2" SITE "D7" ;
88
LOCATE COMP "hpidata_3" SITE "E7" ;
89
LOCATE COMP "hpidata_4" SITE "F7" ;
90
LOCATE COMP "hpidata_5" SITE "A8" ;
91
LOCATE COMP "hpidata_6" SITE "B8" ;
92
LOCATE COMP "hpidata_7" SITE "C8" ;
93
LOCATE COMP "hpidata_8" SITE "D8" ;
94
LOCATE COMP "hpidata_9" SITE "E8" ;
95
LOCATE COMP "hpidata_10" SITE "F8" ;
96
LOCATE COMP "hpidata_11" SITE "A9" ;
97
LOCATE COMP "hpidata_12" SITE "B9" ;
98
LOCATE COMP "hpidata_13" SITE "C9" ;
99
LOCATE COMP "hpidata_14" SITE "D9" ;
100
LOCATE COMP "hpidata_15" SITE "E9" ;
101
LOCATE COMP "hpiint" SITE "B11" ;
102
LOCATE COMP "dbg_equal" SITE "AB13" ;
103
LOCATE COMP "sample_clock" SITE "AB12" ;
104
LOCATE COMP "dac" SITE "U7" ;
105
LOCATE COMP "vga_vsync" SITE "A6" ;
106
LOCATE COMP "vga_hsync" SITE "A7" ;
107
LOCATE COMP "vga_rd_0" SITE "A3" ;
108
LOCATE COMP "vga_rd_1" SITE "B4" ;
109
LOCATE COMP "vga_gr_0" SITE "A4" ;
110
LOCATE COMP "vga_gr_1" SITE "B5" ;
111
LOCATE COMP "vga_bl_0" SITE "A5" ;
112
LOCATE COMP "vga_bl_1" SITE "B6" ;
113
# LOCATE COMP "dsw_0" SITE "R2" ;
114
LOCATE COMP "dsuen" SITE "R2" ;
115
LOCATE COMP "dsw_1" SITE "R3" ;
116
LOCATE COMP "dsw_2" SITE "R4" ;
117
LOCATE COMP "dsw_3" SITE "R5" ;
118
# LOCATE COMP "led_0" SITE "E3" ;
119
LOCATE COMP "dsuactn" SITE "E3" ;
120
LOCATE COMP "led_1" SITE "E4" ;
121
LOCATE COMP "led_2" SITE "E5" ;
122
LOCATE COMP "led_3" SITE "F4" ;
123
LOCATE COMP "led_4" SITE "F5" ;
124
LOCATE COMP "led_5" SITE "G4" ;
125
LOCATE COMP "led_6" SITE "G5" ;
126
LOCATE COMP "led_7" SITE "H5" ;
127
LOCATE COMP "sevensegment_0" SITE "M3" ;
128
LOCATE COMP "sevensegment_1" SITE "M4" ;
129
LOCATE COMP "sevensegment_2" SITE "M5" ;
130
LOCATE COMP "sevensegment_3" SITE "N1" ;
131
LOCATE COMP "sevensegment_4" SITE "N2" ;
132
LOCATE COMP "sevensegment_5" SITE "N3" ;
133
LOCATE COMP "sevensegment_6" SITE "N4" ;
134
LOCATE COMP "sevensegment_7" SITE "N5" ;
135
LOCATE COMP "sevensegment_8" SITE "P1" ;
136
LOCATE COMP "sevensegment_9" SITE "P2" ;
137
LOCATE COMP "lcd_enable" SITE "P5" ;
138
LOCATE COMP "lcd_regsel" SITE "P3" ;
139
LOCATE COMP "lcd_rw" SITE "P4" ;
140
LOCATE COMP "tst_col_0" SITE "U4" ;
141
LOCATE COMP "tst_col_1" SITE "U6" ;
142
LOCATE COMP "tst_col_2" SITE "V5" ;
143
LOCATE COMP "tst_row_0" SITE "T1" ;
144
LOCATE COMP "tst_row_1" SITE "T2" ;
145
LOCATE COMP "tst_row_2" SITE "T3" ;
146
LOCATE COMP "tst_row_3" SITE "R1" ;
147
LOCATE COMP "exp_datao_0" SITE "K22" ;
148
LOCATE COMP "exp_datai_0" SITE "K21" ;
149
LOCATE COMP "exp_datao_1" SITE "L22" ;
150
LOCATE COMP "exp_datai_1" SITE "L21" ;
151
LOCATE COMP "exp_datao_2" SITE "L20" ;
152
LOCATE COMP "exp_datai_2" SITE "M22" ;
153
LOCATE COMP "exp_datao_3" SITE "M21" ;
154
LOCATE COMP "exp_datai_3" SITE "M20" ;
155
LOCATE COMP "exp_datao_4" SITE "M19" ;
156
LOCATE COMP "exp_datai_4" SITE "M18" ;
157
LOCATE COMP "exp_datao_5" SITE "N22" ;
158
LOCATE COMP "exp_datai_5" SITE "N21" ;
159
LOCATE COMP "exp_datao_6" SITE "N20" ;
160
LOCATE COMP "exp_datai_6" SITE "N19" ;
161
LOCATE COMP "exp_datao_7" SITE "N18" ;
162
LOCATE COMP "exp_datai_7" SITE "P22" ;
163
LOCATE COMP "exp_datao_8" SITE "P21" ;
164
LOCATE COMP "exp_datai_8" SITE "P20" ;
165
LOCATE COMP "exp_datao_9" SITE "P18" ;
166
LOCATE COMP "exp_datai_9" SITE "P19" ;
167
LOCATE COMP "exp_datao_10" SITE "R22" ;
168
LOCATE COMP "exp_datai_10" SITE "R21" ;
169
LOCATE COMP "exp_datao_11" SITE "R19" ;
170
LOCATE COMP "exp_datai_11" SITE "R18" ;
171
LOCATE COMP "exp_datao_12" SITE "R17" ;
172
LOCATE COMP "exp_datai_12" SITE "T22" ;
173
LOCATE COMP "exp_datao_13" SITE "T18" ;
174
LOCATE COMP "exp_datai_13" SITE "U22" ;
175
LOCATE COMP "exp_datao_14" SITE "V19" ;
176
LOCATE COMP "exp_datai_14" SITE "W21" ;
177
LOCATE COMP "exp_datao_15" SITE "W20" ;
178
LOCATE COMP "exp_datai_15" SITE "W19" ;
179
LOCATE COMP "exp_datao_16" SITE "Y20" ;
180
LOCATE COMP "exp_datai_16" SITE "AA22" ;
181
LOCATE COMP "exp_datao_17" SITE "AA21" ;
182
LOCATE COMP "exp_datai_17" SITE "AB21" ;
183
LOCATE COMP "exp_datao_18" SITE "T17" ;
184
LOCATE COMP "exp_datai_18" SITE "T14" ;
185
LOCATE COMP "exp_datao_19" SITE "T13" ;
186
LOCATE COMP "exp_datai_19" SITE "U14" ;
187
LOCATE COMP "codec_cs" SITE "W4" ;
188
LOCATE COMP "codec_mclk" SITE "Y3" ;
189
LOCATE COMP "codec_mode" SITE "V4" ;
190
LOCATE COMP "codec_sclk" SITE "Y1" ;
191
LOCATE COMP "codec_sdin" SITE "AA2" ;
192
LOCATE COMP "codec_din" SITE "W2" ;
193
LOCATE COMP "codec_bclk" SITE "W1" ;
194
LOCATE COMP "codec_lrcin" SITE "AA1" ;
195
LOCATE COMP "codec_lrcout" SITE "Y2" ;
196
LOCATE COMP "dbg_codec_din" SITE "F11" ;
197
LOCATE COMP "dbg_codec_bclk" SITE "F12" ;
198
LOCATE COMP "dbg_codec_lrcin" SITE "F13" ;
199
LOCATE COMP "dbg_codec_lrcout" SITE "G13" ;
200
LOCATE COMP "dsubre" SITE "V6" ;
201
LOCATE COMP "oen" SITE "AA6" ;
202
#LOCATE COMP "wen" SITE "AB6" ;
203
LOCATE COMP "writen" SITE "AB6" ;
204
LOCATE COMP "rben_0" SITE "AB4" ;
205
LOCATE COMP "rben_1" SITE "AA4" ;
206
LOCATE COMP "rben_2" SITE "AB3" ;
207
LOCATE COMP "rben_3" SITE "AA3" ;
208
LOCATE COMP "ramsn" SITE "Y4" ;
209
LOCATE COMP "romwpn" SITE "AB5" ;
210
LOCATE COMP "romsn" SITE "Y5" ;
211
LOCATE COMP "romrstn" SITE "Y6" ;
212
LOCATE COMP "errorn" SITE "AA12" ;
213
LOCATE COMP "ddr_ad_0" SITE "D16" ;
214
LOCATE COMP "ddr_ad_1" SITE "C16" ;
215
LOCATE COMP "ddr_ad_10" SITE "E16" ;
216
LOCATE COMP "ddr_ad_11" SITE "C13" ;
217
LOCATE COMP "ddr_ad_12" SITE "B13" ;
218
LOCATE COMP "ddr_ad_13" SITE "C17" ;
219
LOCATE COMP "ddr_ad_2" SITE "E15" ;
220
LOCATE COMP "ddr_ad_3" SITE "D15" ;
221
LOCATE COMP "ddr_ad_4" SITE "C15" ;
222
LOCATE COMP "ddr_ad_5" SITE "E14" ;
223
LOCATE COMP "ddr_ad_6" SITE "D14" ;
224
LOCATE COMP "ddr_ad_7" SITE "C14" ;
225
LOCATE COMP "ddr_ad_8" SITE "E13" ;
226
LOCATE COMP "ddr_ad_9" SITE "D13" ;
227
LOCATE COMP "ddr_ba_0" SITE "E17" ;
228
LOCATE COMP "ddr_ba_1" SITE "D17" ;
229
LOCATE COMP "ddr_casb" SITE "A19" ;
230
LOCATE COMP "ddr_clk0" SITE "A12" ;
231
LOCATE COMP "ddr_clk0b" SITE "B12" ;
232
LOCATE COMP "ddr_clk1" SITE "B19" ;
233
LOCATE COMP "ddr_clk1b" SITE "A20" ;
234
LOCATE COMP "ddr_cke0" SITE "A13" ;
235
LOCATE COMP "ddr_cke1" SITE "C12" ;
236
LOCATE COMP "ddr_dm_0" SITE "B15" ;
237
LOCATE COMP "ddr_dm_1" SITE "C20" ;
238
LOCATE COMP "ddr_dm_2" SITE "H19" ;
239
LOCATE COMP "ddr_dm_3" SITE "K20" ;
240
LOCATE COMP "ddr_dq_0" SITE "A14" ;
241
LOCATE COMP "ddr_dq_1" SITE "B14" ;
242
LOCATE COMP "ddr_dq_10" SITE "C21" ;
243
LOCATE COMP "ddr_dq_11" SITE "C22" ;
244
LOCATE COMP "ddr_dq_12" SITE "E20" ;
245
LOCATE COMP "ddr_dq_13" SITE "E18" ;
246
LOCATE COMP "ddr_dq_14" SITE "F19" ;
247
LOCATE COMP "ddr_dq_15" SITE "F18" ;
248
LOCATE COMP "ddr_dq_16" SITE "D21" ;
249
LOCATE COMP "ddr_dq_17" SITE "F20" ;
250
LOCATE COMP "ddr_dq_18" SITE "G21" ;
251
LOCATE COMP "ddr_dq_19" SITE "G20" ;
252
LOCATE COMP "ddr_dq_2" SITE "A15" ;
253
LOCATE COMP "ddr_dq_20" SITE "H20" ;
254
LOCATE COMP "ddr_dq_21" SITE "J19" ;
255
LOCATE COMP "ddr_dq_22" SITE "J18" ;
256
LOCATE COMP "ddr_dq_23" SITE "H17" ;
257
LOCATE COMP "ddr_dq_24" SITE "F22" ;
258
LOCATE COMP "ddr_dq_25" SITE "G22" ;
259
LOCATE COMP "ddr_dq_26" SITE "H22" ;
260
LOCATE COMP "ddr_dq_27" SITE "H21" ;
261
LOCATE COMP "ddr_dq_28" SITE "K19" ;
262
LOCATE COMP "ddr_dq_29" SITE "K18" ;
263
LOCATE COMP "ddr_dq_3" SITE "B16" ;
264
LOCATE COMP "ddr_dq_30" SITE "L18" ;
265
LOCATE COMP "ddr_dq_31" SITE "L19" ;
266
LOCATE COMP "ddr_dq_4" SITE "A17" ;
267
LOCATE COMP "ddr_dq_5" SITE "B17" ;
268
LOCATE COMP "ddr_dq_6" SITE "A18" ;
269
LOCATE COMP "ddr_dq_7" SITE "B18" ;
270
LOCATE COMP "ddr_dq_8" SITE "B22" ;
271
LOCATE COMP "ddr_dq_9" SITE "B21" ;
272
LOCATE COMP "ddr_dqs_0" SITE "A16" ;
273
LOCATE COMP "ddr_dqs_1" SITE "D20" ;
274
LOCATE COMP "ddr_dqs_2" SITE "H18" ;
275
LOCATE COMP "ddr_dqs_3" SITE "J20" ;
276
LOCATE COMP "ddr_rasb" SITE "C18" ;
277
LOCATE COMP "ddr_cs0b" SITE "C19" ;
278
LOCATE COMP "ddr_cs1b" SITE "B20" ;
279
LOCATE COMP "ddr_web" SITE "D18" ;
280
SYSCONFIG PERSISTENT=OFF CONFIG_MODE=SLAVE_SERIAL DONE_OD=ON DONE_EX=OFF MCCLK_FREQ=2.5 CONFIG_SECURE=OFF WAKE_UP=21 WAKE_ON_LOCK=OFF WAKEUP_CLK=EXTERNAL COMPRESS_CONFIG=OFF INBUF=OFF ;
281
SCHEMATIC END ;
282
BLOCK RESETPATHS ;
283
BLOCK ASYNCPATHS ;
284
FREQUENCY PORT "clk" 25.000000 MHz ;
285
#USE SECONDARY NET clk ;
286
FREQUENCY PORT "etx_clk" 25.000000 MHz ;
287
FREQUENCY PORT "erx_clk" 25.000000 MHz ;
288
#FREQUENCY NET "clkgen0_clkin200" 200.000000 MHz ;
289
FREQUENCY NET "clkm" 50.000000 MHz ;
290
FREQUENCY NET "ddrclk0" 100.000000 MHz ;
291
#FREQUENCY NET "ddrclk90" 100.000000 MHz ;
292
FREQUENCY NET "ddrclk180" 100.000000 MHz ;
293
FREQUENCY NET "ddrclk270" 100.000000 MHz ;
294
#USE PRIMARY PURE NET clkm;
295
#USE PRIMARY PURE NET ddrclk0;
296
#USE PRIMARY PURE NET ddrclk180;
297
#USE PRIMARY PURE NET ddrclk270;
298
INPUT_SETUP ALLPORTS 5.000000 ns CLKPORT "clk" ;
299
CLOCK_TO_OUT ALLPORTS 20.000000 ns CLKPORT "clk" ;
300
BLOCK NET "resetn_c" ;
301
BLOCK NET "romrstn_c_r*" ;
302
#DEFINE PORT GROUP "ddrgroup" "ddr*";
303
#IOBUF GROUP "ddrgroup" IO_TYPE=SSTL25D_II ;
304
 
305
# Assign IO_TYPE Attributes
306
IOBUF PORT "ddr_dqs_0" IO_TYPE=SSTL25_II PULLMODE=NONE ;
307
IOBUF PORT "ddr_dqs_1" IO_TYPE=SSTL25_II PULLMODE=NONE ;
308
IOBUF PORT "ddr_dqs_2" IO_TYPE=SSTL25_II PULLMODE=NONE ;
309
IOBUF PORT "ddr_dqs_3" IO_TYPE=SSTL25_II PULLMODE=NONE ;
310
#
311
IOBUF PORT "ddr_dq_0" IO_TYPE=SSTL25_II PULLMODE=NONE ;
312
IOBUF PORT "ddr_dq_1" IO_TYPE=SSTL25_II PULLMODE=NONE ;
313
IOBUF PORT "ddr_dq_2" IO_TYPE=SSTL25_II PULLMODE=NONE ;
314
IOBUF PORT "ddr_dq_3" IO_TYPE=SSTL25_II PULLMODE=NONE ;
315
IOBUF PORT "ddr_dq_4" IO_TYPE=SSTL25_II PULLMODE=NONE ;
316
IOBUF PORT "ddr_dq_5" IO_TYPE=SSTL25_II PULLMODE=NONE ;
317
IOBUF PORT "ddr_dq_6" IO_TYPE=SSTL25_II PULLMODE=NONE ;
318
IOBUF PORT "ddr_dq_7" IO_TYPE=SSTL25_II PULLMODE=NONE ;
319
IOBUF PORT "ddr_dq_8" IO_TYPE=SSTL25_II PULLMODE=NONE ;
320
IOBUF PORT "ddr_dq_9" IO_TYPE=SSTL25_II PULLMODE=NONE ;
321
IOBUF PORT "ddr_dq_10" IO_TYPE=SSTL25_II PULLMODE=NONE ;
322
IOBUF PORT "ddr_dq_11" IO_TYPE=SSTL25_II PULLMODE=NONE ;
323
IOBUF PORT "ddr_dq_12" IO_TYPE=SSTL25_II PULLMODE=NONE ;
324
IOBUF PORT "ddr_dq_13" IO_TYPE=SSTL25_II PULLMODE=NONE ;
325
IOBUF PORT "ddr_dq_14" IO_TYPE=SSTL25_II PULLMODE=NONE ;
326
IOBUF PORT "ddr_dq_15" IO_TYPE=SSTL25_II PULLMODE=NONE ;
327
IOBUF PORT "ddr_dq_16" IO_TYPE=SSTL25_II PULLMODE=NONE ;
328
IOBUF PORT "ddr_dq_17" IO_TYPE=SSTL25_II PULLMODE=NONE ;
329
IOBUF PORT "ddr_dq_18" IO_TYPE=SSTL25_II PULLMODE=NONE ;
330
IOBUF PORT "ddr_dq_19" IO_TYPE=SSTL25_II PULLMODE=NONE ;
331
IOBUF PORT "ddr_dq_20" IO_TYPE=SSTL25_II PULLMODE=NONE ;
332
IOBUF PORT "ddr_dq_21" IO_TYPE=SSTL25_II PULLMODE=NONE ;
333
IOBUF PORT "ddr_dq_22" IO_TYPE=SSTL25_II PULLMODE=NONE ;
334
IOBUF PORT "ddr_dq_23" IO_TYPE=SSTL25_II PULLMODE=NONE ;
335
IOBUF PORT "ddr_dq_24" IO_TYPE=SSTL25_II PULLMODE=NONE ;
336
IOBUF PORT "ddr_dq_25" IO_TYPE=SSTL25_II PULLMODE=NONE ;
337
IOBUF PORT "ddr_dq_26" IO_TYPE=SSTL25_II PULLMODE=NONE ;
338
IOBUF PORT "ddr_dq_27" IO_TYPE=SSTL25_II PULLMODE=NONE ;
339
IOBUF PORT "ddr_dq_28" IO_TYPE=SSTL25_II PULLMODE=NONE ;
340
IOBUF PORT "ddr_dq_29" IO_TYPE=SSTL25_II PULLMODE=NONE ;
341
IOBUF PORT "ddr_dq_30" IO_TYPE=SSTL25_II PULLMODE=NONE ;
342
IOBUF PORT "ddr_dq_31" IO_TYPE=SSTL25_II PULLMODE=NONE ;
343
#
344
IOBUF PORT "ddr_ba_0" IO_TYPE=SSTL25_II PULLMODE=NONE ;
345
IOBUF PORT "ddr_ba_1" IO_TYPE=SSTL25_II PULLMODE=NONE ;
346
IOBUF PORT "ddr_clk0" IO_TYPE=SSTL25_II PULLMODE=NONE ;
347
IOBUF PORT "ddr_clk0b" IO_TYPE=SSTL25_II PULLMODE=NONE ;
348
IOBUF PORT "ddr_cke0" IO_TYPE=SSTL25_II PULLMODE=NONE ;
349
IOBUF PORT "ddr_clk1" IO_TYPE=SSTL25_II PULLMODE=NONE ;
350
IOBUF PORT "ddr_clk1b" IO_TYPE=SSTL25_II PULLMODE=NONE ;
351
IOBUF PORT "ddr_cke1" IO_TYPE=SSTL25_II PULLMODE=NONE ;
352
IOBUF PORT "ddr_rasb" IO_TYPE=SSTL25_II PULLMODE=NONE ;
353
IOBUF PORT "ddr_casb" IO_TYPE=SSTL25_II PULLMODE=NONE ;
354
IOBUF PORT "ddr_web" IO_TYPE=SSTL25_II PULLMODE=NONE ;
355
#
356
IOBUF PORT "ddr_cs0b" IO_TYPE=SSTL25_II PULLMODE=NONE ;
357
IOBUF PORT "ddr_cs1b" IO_TYPE=SSTL25_II PULLMODE=NONE ;
358
#
359
IOBUF PORT "ddr_ad_0" IO_TYPE=SSTL25_II PULLMODE=NONE ;
360
IOBUF PORT "ddr_ad_1" IO_TYPE=SSTL25_II PULLMODE=NONE ;
361
IOBUF PORT "ddr_ad_2" IO_TYPE=SSTL25_II PULLMODE=NONE ;
362
IOBUF PORT "ddr_ad_3" IO_TYPE=SSTL25_II PULLMODE=NONE ;
363
IOBUF PORT "ddr_ad_4" IO_TYPE=SSTL25_II PULLMODE=NONE ;
364
IOBUF PORT "ddr_ad_5" IO_TYPE=SSTL25_II PULLMODE=NONE ;
365
IOBUF PORT "ddr_ad_6" IO_TYPE=SSTL25_II PULLMODE=NONE ;
366
IOBUF PORT "ddr_ad_7" IO_TYPE=SSTL25_II PULLMODE=NONE ;
367
IOBUF PORT "ddr_ad_8" IO_TYPE=SSTL25_II PULLMODE=NONE ;
368
IOBUF PORT "ddr_ad_9" IO_TYPE=SSTL25_II PULLMODE=NONE ;
369
IOBUF PORT "ddr_ad_10" IO_TYPE=SSTL25_II PULLMODE=NONE ;
370
IOBUF PORT "ddr_ad_11" IO_TYPE=SSTL25_II PULLMODE=NONE ;
371
IOBUF PORT "ddr_ad_12" IO_TYPE=SSTL25_II PULLMODE=NONE ;
372
IOBUF PORT "ddr_ad_13" IO_TYPE=SSTL25_II PULLMODE=NONE ;
373
#
374
IOBUF PORT "ddr_dm_0" IO_TYPE=SSTL25_II PULLMODE=NONE ;
375
IOBUF PORT "ddr_dm_1" IO_TYPE=SSTL25_II PULLMODE=NONE ;
376
IOBUF PORT "ddr_dm_2" IO_TYPE=SSTL25_II PULLMODE=NONE ;
377
IOBUF PORT "ddr_dm_3" IO_TYPE=SSTL25_II PULLMODE=NONE ;
378
 
379
INPUT_SETUP PORT "ddr_dq_0" 0.800000 ns CLKNET "ddrclk0" ;
380
INPUT_SETUP PORT "ddr_dq_1" 0.800000 ns CLKNET "ddrclk0" ;
381
INPUT_SETUP PORT "ddr_dq_2" 0.800000 ns CLKNET "ddrclk0" ;
382
INPUT_SETUP PORT "ddr_dq_3" 0.800000 ns CLKNET "ddrclk0" ;
383
INPUT_SETUP PORT "ddr_dq_4" 0.800000 ns CLKNET "ddrclk0" ;
384
INPUT_SETUP PORT "ddr_dq_5" 0.800000 ns CLKNET "ddrclk0" ;
385
INPUT_SETUP PORT "ddr_dq_6" 0.800000 ns CLKNET "ddrclk0" ;
386
INPUT_SETUP PORT "ddr_dq_7" 0.800000 ns CLKNET "ddrclk0" ;
387
INPUT_SETUP PORT "ddr_dq_8" 0.800000 ns CLKNET "ddrclk0" ;
388
INPUT_SETUP PORT "ddr_dq_9" 0.800000 ns CLKNET "ddrclk0" ;
389
INPUT_SETUP PORT "ddr_dq_10" 0.800000 ns CLKNET "ddrclk0" ;
390
INPUT_SETUP PORT "ddr_dq_11" 0.800000 ns CLKNET "ddrclk0" ;
391
INPUT_SETUP PORT "ddr_dq_12" 0.800000 ns CLKNET "ddrclk0" ;
392
INPUT_SETUP PORT "ddr_dq_13" 0.800000 ns CLKNET "ddrclk0" ;
393
INPUT_SETUP PORT "ddr_dq_14" 0.800000 ns CLKNET "ddrclk0" ;
394
INPUT_SETUP PORT "ddr_dq_15" 0.800000 ns CLKNET "ddrclk0" ;
395
INPUT_SETUP PORT "ddr_dq_16" 0.800000 ns CLKNET "ddrclk0" ;
396
INPUT_SETUP PORT "ddr_dq_17" 0.800000 ns CLKNET "ddrclk0" ;
397
INPUT_SETUP PORT "ddr_dq_18" 0.800000 ns CLKNET "ddrclk0" ;
398
INPUT_SETUP PORT "ddr_dq_19" 0.800000 ns CLKNET "ddrclk0" ;
399
INPUT_SETUP PORT "ddr_dq_20" 0.800000 ns CLKNET "ddrclk0" ;
400
INPUT_SETUP PORT "ddr_dq_21" 0.800000 ns CLKNET "ddrclk0" ;
401
INPUT_SETUP PORT "ddr_dq_22" 0.800000 ns CLKNET "ddrclk0" ;
402
INPUT_SETUP PORT "ddr_dq_23" 0.800000 ns CLKNET "ddrclk0" ;
403
INPUT_SETUP PORT "ddr_dq_24" 0.800000 ns CLKNET "ddrclk0" ;
404
INPUT_SETUP PORT "ddr_dq_25" 0.800000 ns CLKNET "ddrclk0" ;
405
INPUT_SETUP PORT "ddr_dq_26" 0.800000 ns CLKNET "ddrclk0" ;
406
INPUT_SETUP PORT "ddr_dq_27" 0.800000 ns CLKNET "ddrclk0" ;
407
INPUT_SETUP PORT "ddr_dq_28" 0.800000 ns CLKNET "ddrclk0" ;
408
INPUT_SETUP PORT "ddr_dq_29" 0.800000 ns CLKNET "ddrclk0" ;
409
INPUT_SETUP PORT "ddr_dq_30" 0.800000 ns CLKNET "ddrclk0" ;
410
INPUT_SETUP PORT "ddr_dq_31" 0.800000 ns CLKNET "ddrclk0" ;
411
 
412
DEFINE BUS ddr_bus
413
        NET "ddr_dq_in_0"
414
        NET "ddr_dq_in_1"
415
        NET "ddr_dq_in_2"
416
        NET "ddr_dq_in_3"
417
        NET "ddr_dq_in_4"
418
        NET "ddr_dq_in_5"
419
        NET "ddr_dq_in_6"
420
        NET "ddr_dq_in_7"
421
        NET "ddr_dq_in_8"
422
        NET "ddr_dq_in_9"
423
        NET "ddr_dq_in_10"
424
        NET "ddr_dq_in_11"
425
        NET "ddr_dq_in_12"
426
        NET "ddr_dq_in_13"
427
        NET "ddr_dq_in_14"
428
        NET "ddr_dq_in_15"
429
        NET "ddr_dq_in_16"
430
        NET "ddr_dq_in_17"
431
        NET "ddr_dq_in_18"
432
        NET "ddr_dq_in_19"
433
        NET "ddr_dq_in_20"
434
        NET "ddr_dq_in_21"
435
        NET "ddr_dq_in_22"
436
        NET "ddr_dq_in_23"
437
        NET "ddr_dq_in_24"
438
        NET "ddr_dq_in_25"
439
        NET "ddr_dq_in_26"
440
        NET "ddr_dq_in_27"
441
        NET "ddr_dq_in_28"
442
        NET "ddr_dq_in_29"
443
        NET "ddr_dq_in_30"
444
        NET "ddr_dq_in_31";
445
 
446
#LOCATE BUS "ddr_bus" COL 65;
447
 
448
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.