OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [wildcard-xcv300e/] [default.sdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
# Synplicity, Inc. constraint file
2
 
3
#
4
# Clocks
5
#
6
define_clock            -name {Clocks_F_Clk}  -freq 60.000 -clockgroup default_clkgroup
7
define_clock            -name {Clocks_K_Clk}  -freq 40.000 -clockgroup pci_clkgroup
8
 
9
#
10
# Clock to Clock
11
#
12
 
13
#
14
# Inputs/Outputs
15
#
16
define_output_delay -disable     -default  14.00 -improve 0.00 -route 0.00 -ref {Clocks_F_Clk:r}
17
define_input_delay -disable      -default  14.00 -improve 0.00 -route 0.00 -ref {Clocks_F_Clk:r}
18
define_input_delay -disable      {Reset_Reset}  0.00 -improve 0.00 -route 0.00 -ref {Clocks_K_Clk:r}
19
 
20
#
21
# Registers
22
#
23
 
24
#
25
# Multicycle Path
26
#
27
 
28
#
29
# False Path
30
#
31
 
32
#
33
# Delay Path
34
#
35
 
36
#
37
# Attributes
38
#
39
define_global_attribute          syn_useioff {1}
40
 
41
#
42
# Compile Points
43
#
44
 
45
#
46
# Other Constraints
47
#

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.