OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-asic/] [easic_timing.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
 
2
#set_operating_conditions -library RadHardUMC18_CORE_HIT_WCMIL WCMIL
3
set_wire_load_mode segmented
4
set auto_wire_load_selection "true"
5
set_wire_load_mode segmented
6
 
7
set sys_clk_freq 300.0
8
set spw_clk_freq 300.0
9
set clock_skew  0.10
10
set input_setup  2.0
11
set output_delay 6.0
12
 
13
set sys_peri [expr 1000.0 / $sys_clk_freq]
14
set spw_peri [expr 1000.0 / $spw_clk_freq]
15
set spw_rxperi [expr 1500.0 / $spw_clk_freq]
16
set input_delay [expr $sys_peri - $input_setup]
17
set tdelay  [expr $output_delay + 1]
18
 
19
create_clock -name "clk" -period $sys_peri {"core0/leon3core0/clk" }
20
set_dont_touch_network clk
21
#create_clock -name "spw_txclk" -period $spw_peri { "core0/leon3core0/spw_clk"}
22
#set_dont_touch_network spw_txclk
23
 
24
#create_clock -name "spw_rxclk0" -period $spw_peri { "core0/leon3core0/grspw0_0/grspwc0/rx0_0/rxclko" }
25
#create_clock -name "spw_rxclk0" -period $spw_peri { "spw_rxsp[0]" }
26
#set_dont_touch_network spw_rxclk0
27
#create_clock -name "spw_rxclk1" -period $spw_peri { "core0/leon3core0/grspw0_1/grspwc0/rx0_0/rxclko" }
28
#create_clock -name "spw_rxclk1" -period $spw_peri { "spw_rxsp[1]" }
29
#set_dont_touch_network spw_rxclk1
30
 
31
set_false_path -from resetn
32
set_false_path -from testen
33
set_ideal_network testen
34
set_false_path -from rxd1
35
set_false_path -from dsubre
36
set_false_path -from dsuen
37
set_false_path -from dsurx
38
set_false_path -to dsuact
39
#set_false_path -from clk -to spw_txclk 
40
#set_false_path -to clk -from spw_txclk 
41
#set_false_path -from clk -to spw_rxclk0 
42
#set_false_path -to clk -from spw_rxclk0 
43
#set_false_path -from clk -to spw_rxclk1 
44
#set_false_path -to clk -from spw_rxclk1 
45
#set_false_path -from spw_txclk -to spw_rxclk0 
46
#set_false_path -to spw_txclk -from spw_rxclk0 
47
#set_false_path -from spw_txclk -to spw_rxclk1 
48
#set_false_path -to spw_txclk -from spw_rxclk1 
49
set_false_path -from core0/leon3core0/mctrl0/rst -to [get_ports {data* cb*}]
50
set_false_path -from core0/leon3core0/grgpio0/rst -to [get_ports {gpio*}]
51
 
52
set_input_delay $input_delay -clock clk { \
53
         gpio\[*\] data\[*\] brdyn bexcn cb\[*\] }
54
 
55
set_max_delay $output_delay -to { data\[*\] cb\[*\] }
56
 
57
set_max_delay 15 -to { errorn wdogn txd1 gpio\[*\] }
58
 
59
set_max_delay $output_delay -to { \
60
         writen romsn\[*\] read oen iosn rwen\[*\] ramsn\[*\] \
61
         ramoen\[*\] sdcsn\[*\] sdwen sdrasn sdcasn \
62
         sddqm\[*\] address\[*\] \
63
        }
64
 
65
#set_load 8.0 [all_outputs]
66
#set_load 50 { address\[2\] address\[3\] address\[4\] address\[5\] \
67
        address\[6\] address\[7\] address\[8\] address\[9\] address\[10\] \
68
        address\[11\] address\[12\] address\[13\] address\[14\] address\[15\] \
69
        address\[16\] address\[17\] address\[18\] address\[19\] address\[20\]}
70
 
71
#set_load 20 [get_ports {data* cb*}]
72
 
73
set_critical_range 1.0 leon3mp

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.