OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-avnet-eval-xc4vlx25/] [leon3mp.ucf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
NET "clk_100mhz"                LOC = "C13";
2
NET "clk_100mhz"                TNM_NET = "clk_100mhz";
3
TIMESPEC "TS_clk_100mhz" = PERIOD "clk_100mhz" 10.00 ns HIGH 50 %;
4
#INST sysclk_DCM LOC=DCM_ADV_X0Y3;
5
 
6
# Enable those for ISE10
7
#NET "etx_clk" CLOCK_DEDICATED_ROUTE = FALSE;
8
#NET "erx_clk" CLOCK_DEDICATED_ROUTE = FALSE;
9
#NET "ddr_clk_fb" CLOCK_DEDICATED_ROUTE = FALSE;
10
 
11
#############################################################################
12
##                           RESET, UART                                   ##
13
#############################################################################
14
NET "RESETN"            LOC = "L3";
15
NET "RESETN"            TIG;
16
 
17
NET "serrx" LOC = "AC1" | IOSTANDARD=LVCMOS33;
18
NET "sertx" LOC = "AB1" | IOSTANDARD=LVCMOS33;
19
NET "rtsn" LOC = "Y1"   | IOSTANDARD=LVCMOS33;
20
NET "ctsn" LOC = "AA1"  | IOSTANDARD=LVCMOS33;
21
 
22
#############################################################################
23
##                       LEDS, Switches, Pushbutton                        ##
24
#############################################################################
25
#NET     "LED<0>"            LOC = "AC6";
26
 
27
#NET     "LED<1>"            LOC = "AD6";
28
 
29
NET      "LED<2>"            LOC = "AF6";
30
NET      "LED<3>"            LOC = "AE6";
31
NET      "LED<4>"            LOC = "AD5";
32
NET      "LED<5>"            LOC = "AE4";
33
#NET     "LED<6>"            LOC = "AF4";
34
NET      "led_rx"            LOC = "AF4" | IOSTANDARD=LVCMOS33;
35
#NET     "LED<7>"            LOC = "AF3";
36
NET      "led_tx"            LOC = "AF3" | IOSTANDARD=LVCMOS33;
37
38
NET  "SWITCH<7>"            LOC = "H20" ;
39
 
40
NET  "SWITCH<5>"            LOC = "M8"  ;
41
NET  "SWITCH<4>"            LOC = "V4"  ;
42
NET  "SWITCH<3>"            LOC = "U4"  ;
43
NET  "SWITCH<2>"            LOC = "U3"  ;
44
NET  "SWITCH<1>"            LOC = "T6"  ;
45
#NET  "SWITCH<0>"            LOC = "R7" ;
46
NET  "dsuen"            LOC = "R7"      | IOSTANDARD=LVCMOS25 ;
47
48
#NET  "PB_SWITCH<0>"         LOC = "T1";
49
 
50
51
#########################################################
52
 
53
#########################################################
54
NET      "DDR_CKE0"       IOSTANDARD = SSTL2_I;
55
NET      "DDR_CLK0"       IOSTANDARD = SSTL2_I;
56
NET      "DDR_CLK0B"      IOSTANDARD = SSTL2_I;
57
NET      "DDR_CLK_FB"     IOSTANDARD = LVCMOS25;
58
#NET     "DDR_CLK_FB"     TNM_NET = "DDR_CLK_FB";
59
#TIMESPEC "TS_DDR_CLK_FB" = PERIOD "DDR_CLK_FB" 9.75 ns HIGH 50 %;
60
61
NET  "DDR_CLK_FB_OUT"  IOSTANDARD = SSTL2_II;
62
 
63
NET      "DDR_CASB"        IOSTANDARD = SSTL2_I;
64
NET      "DDR_WEB"         IOSTANDARD = SSTL2_I;
65
NET      "DDR_CS0B"        IOSTANDARD = SSTL2_I;
66
67
NET      "DDR_AD(0)"         IOSTANDARD = SSTL2_I;
68
 
69
NET      "DDR_AD(2)"         IOSTANDARD = SSTL2_I;
70
NET      "DDR_AD(3)"         IOSTANDARD = SSTL2_I;
71
NET      "DDR_AD(4)"         IOSTANDARD = SSTL2_I;
72
NET      "DDR_AD(5)"         IOSTANDARD = SSTL2_I;
73
NET      "DDR_AD(6)"         IOSTANDARD = SSTL2_I;
74
NET      "DDR_AD(7)"         IOSTANDARD = SSTL2_I;
75
NET      "DDR_AD(8)"         IOSTANDARD = SSTL2_I;
76
NET      "DDR_AD(9)"         IOSTANDARD = SSTL2_I;
77
NET      "DDR_AD(10)"        IOSTANDARD = SSTL2_I;
78
NET      "DDR_AD(11)"        IOSTANDARD = SSTL2_I;
79
NET      "DDR_AD(12)"        IOSTANDARD = SSTL2_I;
80
#NET     "DDR_AD(13)"        IOSTANDARD = SSTL2_II;
81
NET      "DDR_BA(0)"         IOSTANDARD = SSTL2_I;
82
NET      "DDR_BA(1)"         IOSTANDARD = SSTL2_I;
83
84
NET      "DDR_DQ(0)"         IOSTANDARD = SSTL2_II;
85
 
86
NET      "DDR_DQ(2)"         IOSTANDARD = SSTL2_II;
87
NET      "DDR_DQ(3)"         IOSTANDARD = SSTL2_II;
88
NET      "DDR_DQ(4)"         IOSTANDARD = SSTL2_II;
89
NET      "DDR_DQ(5)"         IOSTANDARD = SSTL2_II;
90
NET      "DDR_DQ(6)"         IOSTANDARD = SSTL2_II;
91
NET      "DDR_DQ(7)"         IOSTANDARD = SSTL2_II;
92
NET      "DDR_DQ(8)"         IOSTANDARD = SSTL2_II;
93
NET      "DDR_DQ(9)"         IOSTANDARD = SSTL2_II;
94
NET      "DDR_DQ(10)"        IOSTANDARD = SSTL2_II;
95
NET      "DDR_DQ(11)"        IOSTANDARD = SSTL2_II;
96
NET      "DDR_DQ(12)"        IOSTANDARD = SSTL2_II;
97
NET      "DDR_DQ(13)"        IOSTANDARD = SSTL2_II;
98
NET      "DDR_DQ(14)"        IOSTANDARD = SSTL2_II;
99
NET      "DDR_DQ(15)"        IOSTANDARD = SSTL2_II;
100
101
NET      "DDR_DM(0)"         IOSTANDARD = SSTL2_I;
102
 
103
104
NET      "DDR_DQS(0)"        IOSTANDARD = SSTL2_I;
105
 
106
107
NET      "DDR_CKE0"    LOC = "N5";
108
 
109
NET      "DDR_CLK0B"      LOC = "T3";
110
NET   "ddr_clk_fb"    LOC ="AB10";
111
NET   "DDR_CLK_FB_OUT"   LOC ="T7";
112
NET      "DDR_RASB"       LOC = "N2";
113
NET      "DDR_CASB"       LOC = "P2";
114
NET      "DDR_WEB"        LOC = "N4";
115
NET      "DDR_CS0B"       LOC = "N3";
116
117
NET      "DDR_AD(0)"         LOC = "L1";   #"DDR_A<0>"
118
 
119
NET      "DDR_AD(2)"         LOC = "J4";   #"DDR_A<2>"
120
NET      "DDR_AD(3)"          LOC = "J2";   #"DDR_A<3>"
121
NET      "DDR_AD(4)"          LOC = "J5";   #"DDR_A<4>"
122
NET      "DDR_AD(5)"          LOC = "J6";   #"DDR_A<5>"
123
NET      "DDR_AD(6)"          LOC = "J7";   #"DDR_A<6>"
124
NET      "DDR_AD(7)"          LOC = "M7";   #"DDR_A<7>"
125
NET      "DDR_AD(8)"          LOC = "M6";   #"DDR_A<8>"
126
NET      "DDR_AD(9)"          LOC = "M5";   #"DDR_A<9>"
127
NET      "DDR_AD(10)"          LOC = "M1";   #"DDR_A<10>"
128
NET      "DDR_AD(11)"          LOC = "N7";   #"DDR_A<11>"
129
NET      "DDR_AD(12)"          LOC = "R4";   #"DDR_A<12>"
130
#NET     "DDR_A<13>"        LOC = "P22";
131
NET      "DDR_BA(0)"         LOC = "M4";   #"DDR_BA<0>"
132
NET      "DDR_BA(1)"         LOC = "M2";   #"DDR_BA<1>"
133
134
NET      "DDR_DQ(0)"        LOC = "V1";   #"DDR_DQ<16>"    #
135
 
136
NET      "DDR_DQ(2)"        LOC = "R2";   #"DDR_DQ<18>"    #
137
NET      "DDR_DQ(3)"        LOC = "P5";   #"DDR_DQ<19>"    #
138
NET      "DDR_DQ(4)"        LOC = "R1";   #"DDR_DQ<20>"    #
139
NET      "DDR_DQ(5)"        LOC = "K2";   #"DDR_DQ<21>"    #
140
NET      "DDR_DQ(6)"         LOC = "K3";   #"DDR_DQ<22>"    #
141
NET      "DDR_DQ(7)"         LOC = "K4";   #"DDR_DQ<23>"    #
142
NET      "DDR_DQ(8)"         LOC = "K6";   #"DDR_DQ<24>"    #
143
NET      "DDR_DQ(9)"         LOC = "K5";   #"DDR_DQ<25>"    #
144
NET      "DDR_DQ(10)"         LOC = "L6";   #"DDR_DQ<26>"    #
145
NET      "DDR_DQ(11)"         LOC = "L7";   #"DDR_DQ<27>"    #
146
NET      "DDR_DQ(12)"         LOC = "N8";   #"DDR_DQ<28>"    #
147
NET      "DDR_DQ(13)"         LOC = "P6";   #"DDR_DQ<29>"    #
148
NET      "DDR_DQ(14)"         LOC = "P7";   #"DDR_DQ<30>"    #
149
NET      "DDR_DQ(15)"         LOC = "P8";   #"DDR_DQ<31>"    #
150
151
NET      "DDR_DM(0)"         LOC = "P4";   #"DDR_DM<0>"     #
152
 
153
154
NET      "DDR_DQS(0)"        LOC = "L4";   #"DDR_DQS<0>"    #
155
 
156
157
#########################################################
158
 
159
#########################################################
160
161
Net address(21) LOC=AA18 | IOSTANDARD=LVCMOS33;
162
 
163
Net address(19) LOC=AB23 | IOSTANDARD=LVCMOS33;
164
Net address(18) LOC=AF19 | IOSTANDARD=LVCMOS33;
165
Net address(17) LOC=AA23 | IOSTANDARD=LVCMOS33;
166
Net address(16) LOC=AF20 | IOSTANDARD=LVCMOS33;
167
Net address(15) LOC=AA24 | IOSTANDARD=LVCMOS33;
168
Net address(14) LOC=Y19  | IOSTANDARD=LVCMOS33;
169
Net address(13) LOC=Y23  | IOSTANDARD=LVCMOS33;
170
Net address(12) LOC=W19  | IOSTANDARD=LVCMOS33;
171
Net address(11) LOC=Y24  | IOSTANDARD=LVCMOS33;
172
Net address(10) LOC=W20  | IOSTANDARD=LVCMOS33;
173
Net address(9) LOC=Y25   | IOSTANDARD=LVCMOS33;
174
Net address(8) LOC=V20   | IOSTANDARD=LVCMOS33;
175
Net address(7) LOC=AA26  | IOSTANDARD=LVCMOS33;
176
Net address(6) LOC=AD22  | IOSTANDARD=LVCMOS33;
177
Net address(5) LOC=Y26   | IOSTANDARD=LVCMOS33;
178
Net address(4) LOC=AC22  | IOSTANDARD=LVCMOS33;
179
Net address(3) LOC=W25   | IOSTANDARD=LVCMOS33;
180
Net address(2) LOC=W23   | IOSTANDARD=LVCMOS33;
181
Net address(1) LOC=W26   | IOSTANDARD=LVCMOS33;
182
Net address(0) LOC=W24   | IOSTANDARD=LVCMOS33;
183
184
Net data(0) LOC=W21      | IOSTANDARD=LVCMOS33;
185
 
186
Net data(2) LOC=Y22      | IOSTANDARD=LVCMOS33;
187
Net data(3) LOC=AE23 | IOSTANDARD=LVCMOS33;
188
Net data(4) LOC=AC23 | IOSTANDARD=LVCMOS33;
189
Net data(5) LOC=AD25 | IOSTANDARD=LVCMOS33;
190
Net data(6) LOC=AD26     | IOSTANDARD=LVCMOS33;
191
Net data(7) LOC=AB24     | IOSTANDARD=LVCMOS33;
192
Net data(8) LOC=V21      | IOSTANDARD=LVCMOS33;
193
Net data(9) LOC=V22      | IOSTANDARD=LVCMOS33;
194
Net data(10) LOC=AB22    | IOSTANDARD=LVCMOS33;
195
Net data(11) LOC=AF23    | IOSTANDARD=LVCMOS33;
196
Net data(12) LOC=AD23    | IOSTANDARD=LVCMOS33;
197
Net data(13) LOC=AC24    | IOSTANDARD=LVCMOS33;
198
Net data(14) LOC=AC25    | IOSTANDARD=LVCMOS33;
199
Net data(15) LOC=AC26    | IOSTANDARD=LVCMOS33;
200
201
Net writen LOC=AB26 | IOSTANDARD=LVCMOS33;
202
 
203
Net romsn LOC=Y20       | IOSTANDARD=LVCMOS33;
204
#Net Flash_RP_N LOC=Y21 | IOSTANDARD=LVCMOS33;
205
Net romrstn LOC=Y21 | IOSTANDARD=LVCMOS33;
206
207
#########################################################
208
 
209
#########################################################
210
#NET DISP_RS      LOC=AB4;
211
#NET LCD_EN       LOC=AC5;
212
213
NET disp_dcn      LOC=AB4;  #DISP_RS
214
 
215
#NET DISP_RESET_N           LOC=AD3;  #DISP_RSTB
216
NET resoutn       LOC=AD3 | IOSTANDARD=LVCMOS33;  #DISP_RSTB
217
NET disp_rdn      LOC=AB2;  #DISP_ECLK
218
NET disp_wrn      LOC=AB3;  #DISP_RD_WRB
219
NET disp_d(0)     LOC=AB5;
220
NET disp_d(1)     LOC=AB6;
221
NET disp_d(2)     LOC=Y6;
222
NET disp_d(3)     LOC=Y2;
223
NET disp_d(4)     LOC=W6;
224
NET disp_d(5)     LOC=W5;
225
NET disp_d(6)     LOC=W7;
226
NET disp_d(7)     LOC=V7;
227
228
#########################################################
229
 
230
#########################################################
231
232
Net PLL_P2 LOC=B13;
233
 
234
Net PLL_P0 LOC=A16;
235
Net PLL_MULT1 LOC=A15;
236
Net PLL_MULT0 LOC=A10;
237
Net PLL_DLYCTRL LOC=A17;
238
Net PLL_LEADLAG LOC=C12;
239
Net PLL_STOP_L LOC=B10;
240
Net PLL_REF_CLK LOC=B17;
241
Net PLL_CLK_P LOC=C15;
242
#Net PLL_CLK_N LOC=C14;
243
#INST PLL_CLK_P IOSTANDARD=LVDS_25;
244
245
#****************************************************************************
246
 
247
#****************************************************************************
248
249
NET "AV_A0"                  LOC = "H8";
250
 
251
NET "AV_A4"              LOC = "H1";
252
NET "AV_A7"              LOC = "H2";
253
NET "AV_A8"              LOC = "G1";
254
NET "AV_A11"             LOC = "G2";
255
NET "AV_A12"             LOC = "G3";
256
NET "AV_A15"             LOC = "G6";
257
NET "AV_A16"             LOC = "G7";
258
NET "AV_A19"             LOC = "E3";
259
NET "AV_A20"             LOC = "C1";
260
NET "AV_A23"             LOC = "D1";
261
NET "AV_A24"             LOC = "D2";
262
NET "AV_A27"             LOC = "B3";
263
NET "AV_A28"             LOC = "E4";
264
NET "AV_A31"             LOC = "A4";
265
NET "AV_D0"              LOC = "B4";
266
NET "AV_D3"              LOC = "A5";
267
NET "AV_D4"              LOC = "C5";
268
NET "AV_D7"              LOC = "A6";
269
NET "AV_D8"              LOC = "B6";
270
NET "AV_D11"             LOC = "C6";
271
NET "AV_D12"             LOC = "D7";
272
NET "AV_D15"             LOC = "E7";
273
NET "AV_D16"             LOC = "C8";
274
NET "AV_D19"             LOC = "D8";
275
NET "AV_D20"             LOC = "A9";
276
NET "AV_D23"             LOC = "B9";
277
NET "AV_D24"             LOC = "D9";
278
NET "AV_D27"             LOC = "D10";
279
NET "AV_D28"             LOC = "F10";
280
NET "AV_D31"             LOC = "C11";
281
282
NET "AV_A1"              LOC = "H6";
283
 
284
NET "AV_A5"              LOC = "H3";
285
NET "AV_A6"              LOC = "H4";
286
NET "AV_A9"              LOC = "G4";
287
NET "AV_A10"             LOC = "G5";
288
NET "AV_A13"             LOC = "F1";
289
NET "AV_A14"             LOC = "F3";
290
NET "AV_A17"             LOC = "F4";
291
NET "AV_A18"             LOC = "E1";
292
NET "AV_A21"             LOC = "E2";
293
NET "AV_A22"             LOC = "C2";
294
NET "AV_A25"             LOC = "D3";
295
NET "AV_A26"             LOC = "A3";
296
NET "AV_A29"             LOC = "C4";
297
NET "AV_A30"             LOC = "D4";
298
NET "AV_D1"              LOC = "D5";
299
NET "AV_D2"              LOC = "E5";
300
NET "AV_D5"              LOC = "D6";
301
NET "AV_D6"              LOC = "E6";
302
NET "AV_D9"              LOC = "A7";
303
NET "AV_D10"             LOC = "B7";
304
NET "AV_D13"             LOC = "C7";
305
NET "AV_D14"             LOC = "A8";
306
NET "AV_D17"             LOC = "F7";
307
NET "AV_D18"             LOC = "F8";
308
NET "AV_D21"             LOC = "E9";
309
NET "AV_D22"             LOC = "F9";
310
NET "AV_D25"             LOC = "C10";
311
NET "AV_D26"             LOC = "E10";
312
NET "AV_D29"             LOC = "A11";
313
NET "AV_D30"             LOC = "D11";
314
315
NET "AV_CTL0"           LOC = "F11";
316
 
317
NET "AV_CTL4"           LOC = "D12";
318
NET "AV_CTL7"           LOC = "G10";
319
NET "AV_CTL8"           LOC = "F13";
320
NET "AV_CTL11"          LOC = "B14";
321
NET "AV_CTL12"          LOC = "E14";
322
NET "AV_CTL15"          LOC = "B15";
323
NET "AV_CTL16"          LOC = "D15";
324
NET "AV_CTL19"          LOC = "D16";
325
NET "AV_CTL20"          LOC = "C17";
326
NET "AV_CTL23"          LOC = "A18";
327
328
NET "AV_CTL1"            LOC = "G8";
329
 
330
NET "AV_CTL5"            LOC = "G9";
331
NET "AV_CTL6"            LOC = "D13";
332
NET "AV_CTL9"            LOC = "E13";
333
NET "AV_CTL10"           LOC = "D14";
334
NET "AV_CTL13"           LOC = "F14";
335
NET "AV_CTL14"           LOC = "F15";
336
NET "AV_CTL17"           LOC = "C16";
337
NET "AV_CTL18"           LOC = "F16";
338
NET "AV_CTL21"           LOC = "D17";
339
NET "AV_CTL22"           LOC = "B18";
340
341
342
 
343
 
344
#****************************************************************************
345
346
347
 
348
 
349
NET "LVDS_P1"                  LOC = "E23";
350
NET "LVDS_N2"          LOC = "G21";
351
NET "LVDS_P2"          LOC = "G22";
352
NET "LVDS_N4"                  LOC = "G23";
353
NET "LVDS_P4"                  LOC = "G24";
354
NET "LVDS_N5"                  LOC = "H23";
355
NET "LVDS_P5"                  LOC = "H24";
356
NET "LVDS_N7"                  LOC = "J22";
357
NET "LVDS_P7"                  LOC = "J23";
358
NET "GEN_IO5"          LOC = "D26";
359
NET "GEN_IO6"                  LOC = "A23";
360
NET "LVDS_N10"                 LOC = "K23";
361
NET "LVDS_P10"                 LOC = "K24";
362
NET "LVDS_N12"                 LOC = "L23";
363
NET "LVDS_P12"                 LOC = "L24";
364
NET "GEN_IO7"                  LOC = "D25";
365
NET "GEN_IO8"                  LOC = "A22";
366
NET "GEN_IO11"                 LOC = "E21";
367
NET "GEN_IO12"                 LOC = "D21";
368
NET "LVDS_N15"                 LOC = "M24";
369
NET "LVDS_P15"                 LOC = "M25";
370
NET "GEN_IO15"                 LOC = "A21";
371
NET "GEN_IO16"                 LOC = "G20";
372
NET "LVDS_N16"                 LOC = "N22";
373
NET "LVDS_P16"                 LOC = "N23";
374
NET "LVDS_N18"                 LOC = "P22";
375
NET "LVDS_P18"                 LOC = "P23";
376
NET "LVDS_N20"                 LOC = "R25";
377
NET "LVDS_P20"                 LOC = "R26";
378
NET "GEN_IO19"                 LOC = "F20";
379
380
NET "LVDS_N0"          LOC = "C23";
381
 
382
NET "GEN_IO1"          LOC = "B24";
383
NET "GEN_IO2"          LOC = "B23";
384
NET "LVDS_N3"                  LOC = "C25";
385
NET "LVDS_P3"                  LOC = "C26";
386
NET "GEN_IO3"                  LOC = "D22";
387
NET "GEN_IO4"                  LOC = "C22";
388
NET "LVDS_N6"          LOC = "C24";
389
NET "LVDS_P6"          LOC = "D24";
390
NET "LVDS_N8"                  LOC = "E24";
391
NET "LVDS_P8"                  LOC = "E25";
392
NET "LVDS_N9"                  LOC = "E26";
393
NET "LVDS_P9"                  LOC = "F26";
394
NET "LVDS_N11"                 LOC = "G25";
395
NET "LVDS_P11"                 LOC = "G26";
396
NET "LVDS_N13"                 LOC = "H25";
397
NET "LVDS_P13"                 LOC = "H26";
398
NET "GEN_IO9"                  LOC = "C21";
399
NET "GEN_IO10"                 LOC = "B21";
400
NET "LVDS_N14"                 LOC = "J25";
401
NET "LVDS_P14"                 LOC = "J26";
402
NET "GEN_IO13"                 LOC = "E20";
403
NET "GEN_IO14"                 LOC = "D20";
404
NET "GEN_IO17"                 LOC = "B20";
405
NET "GEN_IO18"                 LOC = "C20";
406
NET "LVDS_N17"         LOC = "K21";
407
NET "LVDS_P17"         LOC = "K22";
408
NET "LVDS_N19"                 LOC = "M26";
409
NET "LVDS_P19"                 LOC = "L26";
410
NET "LVDS_N21"                 LOC = "N24";
411
NET "LVDS_P21"                 LOC = "N25";
412
413
NET "GEN_IO20"                 LOC = "A20";
414
 
415
NET "LVDS_P23"         LOC = "T21";
416
NET "LVDS_N25"                 LOC = "V25";
417
NET "LVDS_P25"                 LOC = "V26";
418
NET "LVDS_N27"                 LOC = "U21";
419
NET "LVDS_P27"                 LOC = "U22";
420
NET "GEN_IO21"                 LOC = "G19";
421
NET "GEN_IO22"                 LOC = "F19";
422
NET "GEN_IO23"                 LOC = "G18";
423
NET "GEN_IO24"                 LOC = "F18";
424
NET "GEN_IO27"                 LOC = "E18";
425
NET "GEN_IO28"                 LOC = "G17";
426
NET "GEN_IO31"                 LOC = "E17";
427
NET "GEN_IO32"                 LOC = "F17";
428
429
NET "LVDS_N22"                 LOC = "P24";
430
 
431
NET "LVDS_N24"                 LOC = "R23";
432
NET "LVDS_P24"                 LOC = "R24";
433
NET "LVDS_N26"                 LOC = "U26";
434
NET "LVDS_P26"                 LOC = "T26";
435
NET "LVDS_N28"                 LOC = "U24";
436
NET "LVDS_P28"                 LOC = "U25";
437
NET "LVDS_N29"                 LOC = "V23";
438
NET "LVDS_P29"                 LOC = "U23";
439
NET "GEN_IO25"                 LOC = "A19";
440
NET "GEN_IO26"                 LOC = "C19";
441
NET "GEN_IO29"                 LOC = "D19";
442
NET "GEN_IO30"              LOC = "D18";
443
444
#########################################################
445
 
446
#########################################################
447
NET USB_CTL0           LOC = Y10  ;
448
NET USB_CTL1           LOC = AE24 ;
449
NET USB_CTL2           LOC = AA10 ;
450
NET USB_RDY0           LOC = Y9   ;
451
NET USB_RDY1           LOC = AA9  ;
452
NET USB_FD0            LOC = AD13 ;
453
NET USB_FD1            LOC = AC13 ;
454
NET USB_FD2            LOC = AC15 ;
455
NET USB_FD3            LOC = AC16 ;
456
NET USB_FD4            LOC = AA11 ;
457
NET USB_FD5            LOC = AA12 ;
458
NET USB_FD6            LOC = AD14 ;
459
NET USB_FD7               LOC = AC14 ;
460
NET USB_FD8               LOC = AA7  ;
461
NET USB_FD9               LOC = AC7  ;
462
NET USB_FD10              LOC = AB7  ;
463
NET USB_FD11              LOC = AD7  ;
464
NET USB_FD12              LOC = AE7  ;
465
NET USB_FD13              LOC = AF7  ;
466
NET USB_FD14              LOC = Y8   ;
467
NET USB_FD15              LOC = AA8  ;
468
NET USB_IFCLK             LOC = AD11 ;
469
NET USB_INT0_N            LOC = AD10 ;
470
NET USB_INT1_N            LOC = AC10 ;
471
NET USB_SLOE              LOC = AF9  ;
472
NET USB_WU2               LOC = AE9  ;
473
NET USB_FA0               LOC = AC9  ;
474
NET USB_FA1               LOC = AB9  ;
475
NET USB_PEND              LOC = AF8  ;
476
NET USB_SLCS_N            LOC = AD8  ;
477
NET RST_N                 LOC = AF12 ;
478
479
NET emdc        LOC = V5 | IOSTANDARD=LVCMOS33;
480
 
481
NET emdio       LOC = V6 | IOSTANDARD=LVCMOS33;
482
NET erx_col     LOC = AD4 | IOSTANDARD=LVCMOS33;
483
NET etxd(0)     LOC = AC3 | IOSTANDARD=LVCMOS33;
484
NET erxd(0)     LOC =  W4 | IOSTANDARD=LVCMOS33;
485
NET etxd(1)     LOC = AC4 | IOSTANDARD=LVCMOS33;
486
NET erxd(1)     LOC = W3 | IOSTANDARD=LVCMOS33;
487
NET etxd(2)     LOC = AD1 | IOSTANDARD=LVCMOS33;
488
NET erxd(2)     LOC = W1 | IOSTANDARD=LVCMOS33;
489
NET etxd(3)     LOC = AD2 | IOSTANDARD=LVCMOS33;
490
NET erxd(3)     LOC = W2 | IOSTANDARD=LVCMOS33;
491
NET etx_en      LOC = AC2 | IOSTANDARD=LVCMOS33;
492
NET erx_dv      LOC = Y3 | IOSTANDARD=LVCMOS33;
493
NET etx_er      LOC = AA4 | IOSTANDARD=LVCMOS33;
494
NET erx_er      LOC = AA3 | IOSTANDARD=LVCMOS33;
495
NET etx_clk     LOC = Y4 | IOSTANDARD=LVCMOS33;
496
NET erx_clk     LOC = Y5 | IOSTANDARD=LVCMOS33;
497
NET erstn       LOC = AF24 | IOSTANDARD=LVCMOS33;
498
499
NET erx_clk PERIOD = 40.000 ;
500
 
501
NET etx_clk PERIOD = 40.000 ;
502
OFFSET = OUT : 20.000 : AFTER etx_clk ;
503
OFFSET = IN : 8.000 : BEFORE etx_clk ;
504
505
NET "ddr_clk_fb" TNM_NET = "ddr_clk_fb";
506
 
507
508
#TIMESPEC "TS_sepclk1" = FROM "clkgen0_clkin" TO "ddrsp0_ddr_phy0_clk" TIG;
509
 
510
511
NET "clkm"               TNM_NET = "clkm";
512
 
513
TIMESPEC "TS_clkm_clkml" = FROM "clkm" TO "clkml" TIG;
514
TIMESPEC "TS_clkml_clkm" = FROM "clkml" TO "clkm" TIG;
515
NET "lock"  TIG;
516
NET "ddrsp0.ddrc/ddr_phy0/ddr_phy0/xc4v.ddr_phy0/rclk90b" TNM_NET = "rclk90b";
517
TIMEGRP "rclk270b_rise" = FALLING "rclk90b";
518
TIMEGRP "clkml_rise" = RISING "clkml";
519
TIMESPEC "TS_rclk270b_clkml_rise" = FROM "rclk270b_rise" TO "clkml_rise" 5.500;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.