OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-digilent-xc3s1000/] [vga_clkgen.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
 
2
library ieee;
3
use ieee.std_logic_1164.all;
4
-- pragma translate_off
5
library unisim;
6
use unisim.BUFG;
7
-- pragma translate_on
8
library techmap;
9
use techmap.gencomp.all;
10
use techmap.allclkgen.all;
11
 
12
 
13
entity vga_clkgen is
14
  port (
15
    resetn  : in  std_logic;
16
    sel     : in  std_logic_vector(1 downto 0);
17
    clk25   : in  std_logic;
18
    clk50   : in  std_logic;
19
    clkout  : out std_logic
20
  );
21
end;
22
 
23
architecture struct of vga_clkgen is
24
component BUFG port ( O : out std_logic; I : in std_logic); end component;
25
signal clk65, clksel : std_logic;
26
 
27
begin
28
 
29
  -- 65 MHz clock generator 
30
 
31
  clkgen65 : clkmul_virtex2 generic map (13, 5) port map (resetn, clk25, clk65);
32
 
33
  clk_select : process (clk25, clk50, clk65, sel)
34
  begin
35
    case sel is
36
    when "00" => clksel <= clk25;
37
    when "01" => clksel <= clk50;
38
    when "10" => clksel <= clk65;
39
    when others => clksel <= '0';
40
    end case;
41
  end process;
42
 
43
  bufg1 : BUFG port map (I => clksel, O => clkout);
44
 
45
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.