OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-digilent-xc3s1600e/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2006 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
library ieee;
21
use ieee.std_logic_1164.all;
22
library grlib;
23
use grlib.amba.all;
24
use grlib.stdlib.all;
25
use grlib.devices.all;
26
library techmap;
27
use techmap.gencomp.all;
28
use techmap.allclkgen.all;
29
library gaisler;
30
use gaisler.memctrl.all;
31
use gaisler.leon3.all;
32
use gaisler.uart.all;
33
use gaisler.misc.all;
34
use gaisler.net.all;
35
use gaisler.jtag.all;
36
library esa;
37
use esa.memoryctrl.all;
38
use work.config.all;
39
 
40
entity leon3mp is
41
  generic (
42
    fabtech : integer := CFG_FABTECH;
43
    memtech : integer := CFG_MEMTECH;
44
    padtech : integer := CFG_PADTECH;
45
    clktech : integer := CFG_CLKTECH;
46
    disas   : integer := CFG_DISAS;     -- Enable disassembly to console
47
    dbguart : integer := CFG_DUART;     -- Print UART on console
48
    pclow   : integer := CFG_PCLOW;
49
    ddrfreq    : integer := 100000  -- frequency of ddr clock in kHz 
50
    );
51
  port (
52
    reset  : in  std_ulogic;
53
--    resoutn : out std_logic;
54
    clk_50mhz : in  std_ulogic;
55
    errorn  : out   std_ulogic;
56
 
57
    -- prom interface
58
    address : out   std_logic_vector(23 downto 0);
59
    data    : inout std_logic_vector(15 downto 0);
60
    romsn   : out   std_ulogic;
61
    oen     : out   std_ulogic;
62
    writen  : out   std_ulogic;
63
    byten   : out   std_ulogic;
64
-- pragma translate_off
65
    iosn    : out   std_ulogic;
66
    testdata  : inout std_logic_vector(15 downto 0);
67
-- pragma translate_on 
68
 
69
    -- ddr memory  
70
    ddr_clk0    : out std_logic;
71
    ddr_clk0b   : out std_logic;
72
--    ddr_clk_fb_out  : out std_logic;
73
    ddr_clk_fb  : in std_logic;
74
    ddr_cke0    : out std_logic;
75
    ddr_cs0b    : out std_logic;
76
    ddr_web     : out std_ulogic;                       -- ddr write enable
77
    ddr_rasb    : out std_ulogic;                       -- ddr ras
78
    ddr_casb    : out std_ulogic;                       -- ddr cas
79
    ddr_dm      : out std_logic_vector (1 downto 0);    -- ddr dm
80
    ddr_dqs     : inout std_logic_vector (1 downto 0);    -- ddr dqs
81
    ddr_ad      : out std_logic_vector (12 downto 0);   -- ddr address
82
    ddr_ba      : out std_logic_vector (1 downto 0);    -- ddr bank address
83
    ddr_dq      : inout std_logic_vector (15 downto 0); -- ddr data
84
 
85
         -- debug support unit
86
    dsuen   : in  std_ulogic;
87
    dsubre  : in  std_ulogic;
88
--    dsuact  : out std_ulogic;
89
    dsurx   : in std_ulogic;
90
    dsutx   : out std_ulogic;
91
 
92
    -- UART for serial console I/O
93
    urxd1  : in std_ulogic;
94
    utxd1  : out std_ulogic;
95
 
96
    -- ethernet signals
97
    emdio   : inout std_logic;          -- ethernet PHY interface
98
    etx_clk : in    std_ulogic;
99
    erx_clk : in    std_ulogic;
100
    erxd    : in    std_logic_vector(3 downto 0);
101
    erx_dv  : in    std_ulogic;
102
    erx_er  : in    std_ulogic;
103
    erx_col : in    std_ulogic;
104
    erx_crs : in    std_ulogic;
105
    etxd    : out   std_logic_vector(3 downto 0);
106
    etx_en  : out   std_ulogic;
107
    etx_er  : out   std_ulogic;
108
    emdc    : out   std_ulogic;
109
 
110
    spi     : out   std_ulogic;
111
 
112
    led       : out  std_logic_vector(5 downto 0);
113
    ps2clk        : inout std_logic;
114
    ps2data       : inout std_logic;
115
 
116
    vid_hsync     : out std_ulogic;
117
    vid_vsync     : out std_ulogic;
118
    vid_r         : out std_logic;
119
    vid_g         : out std_logic;
120
    vid_b         : out std_logic
121
 
122
    );
123
end;
124
 
125
architecture rtl of leon3mp is
126
 
127
  constant blength   : integer := 12;
128
  constant fifodepth : integer := 8;
129
 
130
  signal vcc, gnd   : std_logic_vector(4 downto 0);
131
  signal memi       : memory_in_type;
132
  signal memo       : memory_out_type;
133
  signal wpo        : wprot_out_type;
134
  signal sdi        : sdctrl_in_type;
135
  signal sdo       : sdctrl_out_type;
136
 
137
  signal gpioi : gpio_in_type;
138
  signal gpioo : gpio_out_type;
139
 
140
  signal apbi  : apb_slv_in_type;
141
  signal apbo  : apb_slv_out_vector := (others => apb_none);
142
  signal ahbsi : ahb_slv_in_type;
143
  signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
144
  signal ahbmi : ahb_mst_in_type;
145
  signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
146
 
147
  signal lclk : std_ulogic;
148
  signal ddrclk, ddrrst, ddrclkfb : std_ulogic;
149
 
150
  signal clkm, rstn, clkml, clk2x : std_ulogic;
151
  signal cgi                : clkgen_in_type;
152
  signal cgo                : clkgen_out_type;
153
  signal u1i, dui           : uart_in_type;
154
  signal u1o, duo           : uart_out_type;
155
 
156
  signal irqi : irq_in_vector(0 to CFG_NCPU-1);
157
  signal irqo : irq_out_vector(0 to CFG_NCPU-1);
158
 
159
  signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
160
  signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
161
 
162
  signal dsui : dsu_in_type;
163
  signal dsuo : dsu_out_type;
164
 
165
  signal ethi, ethi1, ethi2 : eth_in_type;
166
  signal etho, etho1, etho2 : eth_out_type;
167
 
168
  signal gpti : gptimer_in_type;
169
 
170
  signal tck, tms, tdi, tdo : std_ulogic;
171
 
172
  signal kbdi  : ps2_in_type;
173
  signal kbdo  : ps2_out_type;
174
  signal vgao  : apbvga_out_type;
175
 
176
  signal ldsubre         : std_logic;
177
  signal duart, ldsuen   : std_logic;
178
  signal rsertx, rserrx, rdsuen   : std_logic;
179
 
180
  signal rstraw : std_logic;
181
  signal rstneg : std_logic;
182
  signal rxd1, rxd2 : std_logic;
183
  signal txd1 : std_logic;
184
  signal lock : std_logic;
185
 
186
  signal ddr_clk        : std_logic_vector(2 downto 0);
187
  signal ddr_clkb       : std_logic_vector(2 downto 0);
188
  signal ddr_cke        : std_logic_vector(1 downto 0);
189
  signal ddr_csb        : std_logic_vector(1 downto 0);
190
  signal ddr_adl        : std_logic_vector(13 downto 0);   -- ddr address
191
 
192
  attribute keep : boolean;
193
  attribute syn_keep : boolean;
194
  attribute syn_preserve : boolean;
195
  attribute syn_keep of lock : signal is true;
196
  attribute syn_keep of clkml : signal is true;
197
  attribute syn_preserve of clkml : signal is true;
198
  attribute keep of lock : signal is true;
199
  attribute keep of clkml : signal is true;
200
  attribute keep of clkm : signal is true;
201
 
202
 
203
  constant BOARD_FREQ : integer := 50000;   -- input frequency in KHz
204
  constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
205
 
206
begin
207
 
208
----------------------------------------------------------------------
209
---  Reset and Clock generation  -------------------------------------
210
----------------------------------------------------------------------
211
 
212
  vcc <= (others => '1'); gnd <= (others => '0');
213
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
214
  rstneg <= not reset; spi <= '1';
215
 
216
  rst0 : rstgen port map (rstneg, clkm, lock, rstn, rstraw);
217
  led(5) <= lock;
218
 
219
  clk_pad : clkpad generic map (tech => padtech) port map (clk_50mhz, lclk);
220
 
221
  clkgen0 : clkgen              -- clock generator
222
  generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
223
  port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo, open, open, clk2x);
224
 
225
--     cgo.clklock <= '1';
226
 
227
---------------------------------------------------------------------- 
228
---  AHB CONTROLLER --------------------------------------------------
229
----------------------------------------------------------------------
230
 
231
  ahb0 : ahbctrl                        -- AHB arbiter/multiplexer
232
    generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
233
      rrobin  => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
234
      nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+CFG_SVGA_ENABLE,
235
                nahbs => 8)
236
    port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
237
 
238
----------------------------------------------------------------------
239
---  LEON3 processor and DSU -----------------------------------------
240
----------------------------------------------------------------------
241
 
242
  leon3gen : if CFG_LEON3 = 1 generate
243
    cpu : for i in 0 to CFG_NCPU-1 generate
244
      u0 : leon3s                         -- LEON3 processor
245
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
246
                   0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
247
                   CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
248
                   CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
249
                   CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
250
                   CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR,
251
                   CFG_NCPU-1)
252
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
253
                irqi(i), irqo(i), dbgi(i), dbgo(i));
254
    end generate;
255
    error_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
256
 
257
    dsugen : if CFG_DSU = 1 generate
258
      dsu0 : dsu3                         -- LEON3 Debug Support Unit
259
        generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
260
                   ncpu   => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
261
        port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
262
        dsui.enable <= '1';
263
      dsubre_pad : inpad generic map (tech  => padtech) port map (dsubre, ldsubre);
264
        dsui.break <= ldsubre;
265
--      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
266
        led(4) <= dsuo.active;
267
    end generate;
268
  end generate;
269
  nodcom : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; end generate;
270
 
271
  dcomgen : if CFG_AHB_UART = 1 generate
272
    dcom0 : ahbuart                     -- Debug UART
273
      generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7)
274
      port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU));
275
      dsurx_pad : inpad generic map (tech  => padtech) port map (dsurx, rxd2);
276
      dui.rxd <= rxd2;
277
      dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
278
      led(2) <= not rxd2; led(3) <= not duo.txd;
279
  end generate;
280
  nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate;
281
 
282
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
283
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
284
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
285
               open, open, open, open, open, open, open, gnd(0));
286
  end generate;
287
 
288
----------------------------------------------------------------------
289
---  Memory controllers ----------------------------------------------
290
----------------------------------------------------------------------
291
 
292
  mg2 : if CFG_MCTRL_LEON2 = 1 generate        -- LEON2 memory controller
293
    sr1 : mctrl generic map (hindex => 5, pindex => 0,
294
        paddr => 0, srbanks => 1, ramaddr => 16#600#, rammask => 16#F00#, ram16 => 1 )
295
      port map (rstn, clkm, memi, memo, ahbsi, ahbso(5), apbi, apbo(0), wpo, open);
296
  end generate;
297
 
298
  byten <= '1'; -- 16-bit flash
299
  memi.brdyn  <= '1'; memi.bexcn <= '1';
300
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01";
301
 
302
  mg0 : if (CFG_MCTRL_LEON2 = 0) generate
303
    apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
304
    roms_pad : outpad generic map (tech => padtech)
305
      port map (romsn, vcc(0));
306
  end generate;
307
 
308
  mgpads : if (CFG_MCTRL_LEON2 /= 0) generate
309
    addr_pad : outpadv generic map (width => 24, tech => padtech)
310
      port map (address, memo.address(23 downto 0));
311
    roms_pad : outpad generic map (tech => padtech)
312
      port map (romsn, memo.romsn(0));
313
    oen_pad : outpad generic map (tech => padtech)
314
      port map (oen, memo.oen);
315
    wri_pad : outpad generic map (tech => padtech)
316
      port map (writen, memo.writen);
317
 
318
-- pragma translate_off
319
    iosn_pad : outpad generic map (tech => padtech)
320
        port map (iosn, memo.iosn);
321
    tbdr : for i in 0 to 1 generate
322
      data_pad : iopadv generic map (tech => padtech, width => 8)
323
        port map (testdata(15-i*8 downto 8-i*8), memo.data(15-i*8 downto 8-i*8),
324
                  memo.bdrive(i+2), memi.data(15-i*8 downto 8-i*8));
325
    end generate;
326
-- pragma translate_on
327
 
328
    bdr : for i in 0 to 1 generate
329
      data_pad : iopadv generic map (tech => padtech, width => 8)
330
        port map (data(15-i*8 downto 8-i*8), memo.data(31-i*8 downto 24-i*8),
331
                  memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
332
    end generate;
333
  end generate;
334
 
335
----------------------------------------------------------------------
336
---  DDR memory controller -------------------------------------------
337
----------------------------------------------------------------------
338
 
339
  ddrsp0 : if (CFG_DDRSP /= 0) generate
340
 
341
    ddrc : ddrspa generic map ( fabtech => spartan3e, memtech => memtech,
342
        hindex => 4, haddr => 16#400#, hmask => 16#F00#, ioaddr => 1,
343
        pwron => CFG_DDRSP_INIT, MHz => 2*BOARD_FREQ/1000, rskew => CFG_DDRSP_RSKEW,
344
        clkmul => CFG_DDRSP_FREQ/10, clkdiv => 2*5, col => CFG_DDRSP_COL,
345
        Mbyte => CFG_DDRSP_SIZE, ahbfreq => CPU_FREQ/1000, ddrbits => 16)
346
     port map (
347
        cgo.clklock, rstn, clk2x, clkm, lock, clkml, clkml,  ahbsi, ahbso(4),
348
        ddr_clk, ddr_clkb, open, ddr_clk_fb,
349
        ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
350
        ddr_dm, ddr_dqs, ddr_adl, ddr_ba, ddr_dq);
351
 
352
        ddr_clk0 <= ddr_clk(0); ddr_clk0b <= ddr_clkb(0);
353
        ddr_cke0 <= ddr_cke(0); ddr_cs0b <= ddr_csb(0);
354
        ddr_ad <= ddr_adl(12 downto 0);
355
  end generate;
356
 
357
  noddr :  if (CFG_DDRSP = 0) generate lock <= '1'; end generate;
358
 
359
----------------------------------------------------------------------
360
---  APB Bridge and various periherals -------------------------------
361
----------------------------------------------------------------------
362
 
363
  apb0 : apbctrl                        -- AHB/APB bridge
364
    generic map (hindex => 1, haddr => CFG_APBADDR)
365
    port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
366
 
367
  ua1 : if CFG_UART1_ENABLE /= 0 generate
368
    uart1 : apbuart                     -- UART 1
369
      generic map (pindex   => 1, paddr => 1, pirq => 2, console => dbguart,
370
                   fifosize => CFG_UART1_FIFO)
371
      port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
372
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
373
    serrx_pad : inpad generic map (tech  => padtech) port map (urxd1, rxd1);
374
    sertx_pad : outpad generic map (tech => padtech) port map (utxd1, txd1);
375
    led(0) <= not rxd1; led(1) <= not txd1;
376
  end generate;
377
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
378
 
379
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
380
    irqctrl0 : irqmp                    -- interrupt controller
381
      generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
382
      port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
383
  end generate;
384
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
385
    x : for i in 0 to CFG_NCPU-1 generate
386
      irqi(i).irl <= "0000";
387
    end generate;
388
    apbo(2) <= apb_none;
389
  end generate;
390
 
391
  gpt : if CFG_GPT_ENABLE /= 0 generate
392
    timer0 : gptimer                    -- timer unit
393
      generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
394
                   sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
395
                   nbits  => CFG_GPT_TW)
396
      port map (rstn, clkm, apbi, apbo(3), gpti, open);
397
    gpti.dhalt <= dsuo.active; gpti.extclk <= '0';
398
  end generate;
399
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
400
 
401
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
402
    grgpio0: grgpio
403
      generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK,
404
        nbits => 12 --CFG_GRGPIO_WIDTH
405
      )
406
      port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
407
 
408
   end generate;
409
 
410
  kbd : if CFG_KBD_ENABLE /= 0 generate
411
    ps20 : apbps2 generic map(pindex => 5, paddr => 5, pirq => 5)
412
      port map(rstn, clkm, apbi, apbo(5), kbdi, kbdo);
413
    kbdclk_pad : iopad generic map (tech => padtech)
414
      port map (ps2clk,kbdo.ps2_clk_o, kbdo.ps2_clk_oe, kbdi.ps2_clk_i);
415
    kbdata_pad : iopad generic map (tech => padtech)
416
        port map (ps2data, kbdo.ps2_data_o, kbdo.ps2_data_oe, kbdi.ps2_data_i);
417
  end generate;
418
  nokbd : if CFG_KBD_ENABLE = 0 generate
419
        apbo(5) <= apb_none; kbdo <= ps2o_none;
420
  end generate;
421
 
422
--  vga : if CFG_VGA_ENABLE /= 0 generate
423
--    vga0 : apbvga generic map(memtech => memtech, pindex => 6, paddr => 6)
424
--       port map(rstn, clkm, ethclk, apbi, apbo(6), vgao);
425
--    video_clock_pad : outpad generic map ( tech => padtech)
426
--        port map (vid_clock, dac_clk);
427
--    dac_clk <= not clkm;
428
--   end generate;
429
 
430
  svga : if CFG_SVGA_ENABLE /= 0 generate
431
    svga0 : svgactrl generic map(memtech => memtech, pindex => 6, paddr => 6,
432
        hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
433
        clk0 => 1000000000/((BOARD_FREQ * CFG_CLKMUL)/CFG_CLKDIV),
434
        clk1 => 0, clk2 => 0, burstlen => 5)
435
       port map(rstn, clkm, clkm, apbi, apbo(6), vgao, ahbmi,
436
                ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), open);
437
  end generate;
438
 
439
--  blank_pad : outpad generic map (tech => padtech)
440
--        port map (vid_blankn, vgao.blank);
441
--  comp_sync_pad : outpad generic map (tech => padtech)
442
--        port map (vid_syncn, vgao.comp_sync);
443
  vert_sync_pad : outpad generic map (tech => padtech)
444
        port map (vid_vsync, vgao.vsync);
445
  horiz_sync_pad : outpad generic map (tech => padtech)
446
        port map (vid_hsync, vgao.hsync);
447
  video_out_r_pad : outpad generic map (tech => padtech)
448
        port map (vid_r, vgao.video_out_r(7));
449
  video_out_g_pad : outpad generic map (tech => padtech)
450
        port map (vid_g, vgao.video_out_g(7));
451
  video_out_b_pad : outpad generic map (tech => padtech)
452
        port map (vid_b, vgao.video_out_b(7));
453
 
454
-----------------------------------------------------------------------
455
---  ETHERNET ---------------------------------------------------------
456
-----------------------------------------------------------------------
457
 
458
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
459
      e1 : grethm generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE,
460
        pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
461
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
462
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
463
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
464
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL,
465
        phyrstadr => 31, giga => CFG_GRETH1G)
466
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
467
        ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE),
468
        apbi => apbi, apbo => apbo(15), ethi => ethi, etho => etho);
469
 
470
    emdio_pad : iopad generic map (tech => padtech)
471
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
472
    etxc_pad : inpad generic map (tech => padtech)
473
      port map (etx_clk, ethi.tx_clk);
474
    erxc_pad : inpad generic map (tech => padtech)
475
      port map (erx_clk, ethi.rx_clk);
476
    erxd_pad : inpadv generic map (tech => padtech, width => 4)
477
      port map (erxd, ethi.rxd(3 downto 0));
478
    erxdv_pad : inpad generic map (tech => padtech)
479
      port map (erx_dv, ethi.rx_dv);
480
    erxer_pad : inpad generic map (tech => padtech)
481
      port map (erx_er, ethi.rx_er);
482
    erxco_pad : inpad generic map (tech => padtech)
483
      port map (erx_col, ethi.rx_col);
484
    erxcr_pad : inpad generic map (tech => padtech)
485
      port map (erx_crs, ethi.rx_crs);
486
 
487
    etxd_pad : outpadv generic map (tech => padtech, width => 4)
488
      port map (etxd, etho.txd(3 downto 0));
489
    etxen_pad : outpad generic map (tech => padtech)
490
      port map (etx_en, etho.tx_en);
491
    etxer_pad : outpad generic map (tech => padtech)
492
      port map (etx_er, etho.tx_er);
493
    emdc_pad : outpad generic map (tech => padtech)
494
      port map (emdc, etho.mdc);
495
 
496
  end generate;
497
 
498
-----------------------------------------------------------------------
499
---  AHB DMA ----------------------------------------------------------
500
-----------------------------------------------------------------------
501
 
502
--  dma0 : ahbdma
503
--    generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH,
504
--      pindex => 12, paddr => 12, dbuf => 32)
505
--    port map (rstn, clkm, apbi, apbo(12), ahbmi, 
506
--      ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH));
507
--
508
--  at0 : ahbtrace
509
--  generic map ( hindex  => 7, ioaddr => 16#200#, iomask => 16#E00#,
510
--    tech    => memtech, irq     => 0, kbytes  => 8) 
511
--  port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7));
512
 
513
-----------------------------------------------------------------------
514
---  AHB ROM ----------------------------------------------------------
515
-----------------------------------------------------------------------
516
 
517
  bpromgen : if CFG_AHBROMEN /= 0 generate
518
    brom : entity work.ahbrom
519
      generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
520
      port map ( rstn, clkm, ahbsi, ahbso(6));
521
  end generate;
522
  nobpromgen : if CFG_AHBROMEN = 0 generate
523
     ahbso(6) <= ahbs_none;
524
  end generate;
525
 
526
-----------------------------------------------------------------------
527
---  AHB RAM ----------------------------------------------------------
528
-----------------------------------------------------------------------
529
 
530
  ahbramgen : if CFG_AHBRAMEN = 1 generate
531
    ahbram0 : ahbram generic map (hindex => 3, haddr => CFG_AHBRADDR,
532
                                  tech   => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
533
      port map (rstn, clkm, ahbsi, ahbso(3));
534
  end generate;
535
  nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate;
536
 
537
-----------------------------------------------------------------------
538
---  Drive unused bus elements  ---------------------------------------
539
-----------------------------------------------------------------------
540
 
541
  nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+CFG_SVGA_ENABLE+1) to NAHBMST-1 generate
542
    ahbmo(i) <= ahbm_none;
543
  end generate;
544
--  nap0 : for i in 9 to NAPBSLV-1-CFG_GRETH generate apbo(i) <= apb_none; end generate;
545
--  nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
546
 
547
--  resoutn <= rstn;
548
 
549
-----------------------------------------------------------------------
550
---  Boot message  ----------------------------------------------------
551
-----------------------------------------------------------------------
552
 
553
-- pragma translate_off
554
  x : report_version
555
    generic map (
556
      msg1 => "LEON3 Demonstration design for Digilent Spartan3E Eval board",
557
      msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
558
        & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
559
      msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
560
      mdel => 1
561
      );
562
-- pragma translate_on
563
 
564
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.