OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-ge-hpe-mini/] [Makefile] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
GRLIB=../..
2
TOP=leon3mini
3
BOARD=ge-hpe-mini
4
include $(GRLIB)/boards/$(BOARD)/Makefile.inc
5
DEVICE=$(PART)-$(PACKAGE)$(SPEED)
6
UCF=$(GRLIB)/boards/$(BOARD)/$(TOP).ucf
7
QSF=$(GRLIB)/boards/$(BOARD)/$(TOP).qsf
8
EFFORT=1
9
XSTOPT=
10
VHDLSYNFILES=config.vhd ahbrom.vhd leon3mini.vhd
11
VHDLSIMFILES=testbench.vhd
12
SIMTOP=testbench
13
SDCFILE=$(GRLIB)/boards/$(BOARD)/default.sdc
14
BITGEN=$(GRLIB)/boards/$(BOARD)/default.ut
15
CLEAN=soft-clean
16
 
17
# Select your cable type here.
18
# Make sure it has been installed via the programmer GUI
19
# in Quartus.
20
#
21
# Examples:
22
#   USB-Blaster
23
#   ByteBlasterMV
24
CABLE=ByteBlasterMV
25
 
26
TECHLIBS = altera altera_mf
27
LIBSKIP = core1553bbc core1553brm core1553brt gr1553 corePCIF \
28
        tmtc openchip hynix cypress ihp stratixii usbhc spw
29
DIRSKIP = b1553 pcif leon2 leon2ft crypto satcan pci leon3ft ambatest ddr \
30
        grusbhc spacewire
31
 
32
 
33
INCLUDEFILES=$(VPATH)/hpi_functions.c
34
 
35
include $(GRLIB)/bin/Makefile
36
include $(GRLIB)/software/leon3/Makefile
37
 
38
 
39
##################  project specific targets ##########################
40
 
41
quartus-pgm:
42
        quartus_pgm -c $(CABLE) -m JTAG -o p\;$(TOP).sof
43
 
44
hpi_new.exe: hpi_new.c
45
        $(XCC) $(CFLAGS) $(INCLUDEFILES) $< -o hpi_new.exe
46
 
47
hello.exe: hello.c
48
        $(XCC) $(CFLAGS) $< -o hello.exe
49
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.