OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-cpci-xc2v6000/] [wave.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /testbench/clk
4
add wave -noupdate -format Logic /testbench/rst
5
add wave -noupdate -format Literal -radix hexadecimal /testbench/address
6
add wave -noupdate -format Literal -radix hexadecimal /testbench/data
7
add wave -noupdate -format Literal /testbench/ramsn
8
add wave -noupdate -format Literal /testbench/ramoen
9
add wave -noupdate -format Literal /testbench/rwen
10
add wave -noupdate -format Literal /testbench/rwenx
11
add wave -noupdate -format Literal /testbench/romsn
12
add wave -noupdate -format Logic /testbench/iosn
13
add wave -noupdate -format Logic /testbench/oen
14
add wave -noupdate -format Logic /testbench/read
15
add wave -noupdate -format Logic /testbench/writen
16
add wave -noupdate -format Literal -radix hexadecimal /testbench/sa
17
add wave -noupdate -format Literal -radix hexadecimal /testbench/sd
18
add wave -noupdate -format Literal /testbench/sdcke
19
add wave -noupdate -format Literal /testbench/sdcsn
20
add wave -noupdate -format Logic /testbench/sdwen
21
add wave -noupdate -format Logic /testbench/sdrasn
22
add wave -noupdate -format Logic /testbench/sdcasn
23
add wave -noupdate -format Literal /testbench/sddqm
24
add wave -noupdate -format Logic /testbench/sdclk
25
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/apbi
26
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/apbo
27
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/ahbsi
28
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/ahbso
29
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/ahbmi
30
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/ahbmo
31
add wave -noupdate -divider {CPU 1}
32
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/rfi
33
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/rfo
34
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/ici
35
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/ico
36
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/dci
37
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/dco
38
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/r
39
add wave -noupdate -divider {CPU 2}
40
TreeUpdate [SetDefaultTree]
41
WaveRestoreCursors {{Cursor 1} {39252698 ps} 0}
42
configure wave -namecolwidth 189
43
configure wave -valuecolwidth 40
44
configure wave -justifyvalue left
45
configure wave -signalnamewidth 0
46
configure wave -snapdistance 10
47
configure wave -datasetprefix 0
48
configure wave -rowmargin 4
49
configure wave -childrowmargin 2
50
configure wave -gridoffset 0
51
configure wave -gridperiod 1
52
configure wave -griddelta 40
53
configure wave -timeline 0
54
update
55
WaveRestoreZoom {37002043 ps} {206339466 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.