OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [mips.txt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
Scanning libraries
2
  grlib: stdlib util sparc modgen amba
3
  unisim: vcomponents simprims
4
  dw02: comp
5
  synplify: sim
6
  techmap: gencomp inferred dw02 unisim maps
7
  spw: comp wrapper
8
  eth: comp core wrapper
9
  opencores: occomp can i2c spi ata ac97
10
  gaisler: arith memctrl leon3 can misc net uart sim jtag greth spacewire usb ata vlog
11
  esa: memoryctrl
12
  micron: sdram
13
  work: debug
14
testbench.mpf
15
leon3mp.xst
16
rm -rf xst
17
xst -ifn compile.xst
18
Release 11.1 - xst L.33 (nt)
19
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
20
-->
21
=========================================================================
22
*                          HDL Compilation                              *
23
=========================================================================
24
Compiling vhdl file "../../lib/grlib/stdlib/version.vhd" in Library grlib.
25
Package  compiled.
26
 
27
 
28
Total REAL time to Xst completion: 0.00 secs
29
Total CPU time to Xst completion: 0.14 secs
30
 
31
-->
32
=========================================================================
33
*                          HDL Compilation                              *
34
=========================================================================
35
Compiling vhdl file "../../lib/grlib/stdlib/stdlib.vhd" in Library grlib.
36
Package  compiled.
37
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 186. In the function *, not all control paths contain a return statement.
38
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 200. In the function signed_mul, not all control paths contain a return statement.
39
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 216. In the function +, not all control paths contain a return statement.
40
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 227. In the function +, not all control paths contain a return statement.
41
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 238. In the function +, not all control paths contain a return statement.
42
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 251. In the function +, not all control paths contain a return statement.
43
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 267. In the function -, not all control paths contain a return statement.
44
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 278. In the function -, not all control paths contain a return statement.
45
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 289. In the function -, not all control paths contain a return statement.
46
WARNING:HDLParsers:3534 - "../../lib/grlib/stdlib/stdlib.vhd" Line 302. In the function -, not all control paths contain a return statement.
47
Package body  compiled.
48
 
49
 
50
Total REAL time to Xst completion: 0.00 secs
51
Total CPU time to Xst completion: 0.19 secs
52
 
53
-->
54
=========================================================================
55
*                          HDL Compilation                              *
56
=========================================================================
57
Compiling vhdl file "../../lib/grlib/sparc/sparc.vhd" in Library grlib.
58
Package  compiled.
59
 
60
 
61
Total REAL time to Xst completion: 0.00 secs
62
Total CPU time to Xst completion: 0.20 secs
63
 
64
-->
65
=========================================================================
66
*                          HDL Compilation                              *
67
=========================================================================
68
Compiling vhdl file "../../lib/grlib/modgen/multlib.vhd" in Library grlib.
69
Package  compiled.
70
 
71
 
72
Total REAL time to Xst completion: 0.00 secs
73
Total CPU time to Xst completion: 0.22 secs
74
 
75
-->
76
=========================================================================
77
*                          HDL Compilation                              *
78
=========================================================================
79
Compiling vhdl file "../../lib/grlib/modgen/leaves.vhd" in Library grlib.
80
Package  compiled.
81
Entity  compiled.
82
Entity  (Architecture ) compiled.
83
Entity  compiled.
84
Entity  (Architecture ) compiled.
85
Entity  compiled.
86
Entity  (Architecture ) compiled.
87
Entity  compiled.
88
Entity  (Architecture ) compiled.
89
Entity  compiled.
90
Entity  (Architecture ) compiled.
91
Entity  compiled.
92
Entity  (Architecture ) compiled.
93
Entity  compiled.
94
Entity  (Architecture ) compiled.
95
Entity  compiled.
96
Entity  (Architecture ) compiled.
97
Entity  compiled.
98
Entity  (Architecture ) compiled.
99
Entity  compiled.
100
Entity  (Architecture ) compiled.
101
Entity  compiled.
102
Entity  (Architecture ) compiled.
103
Entity  compiled.
104
Entity  (Architecture ) compiled.
105
Entity  compiled.
106
Entity  (Architecture ) compiled.
107
Entity  compiled.
108
Entity  (Architecture ) compiled.
109
Entity  compiled.
110
Entity  (Architecture ) compiled.
111
Entity  compiled.
112
Entity  (Architecture ) compiled.
113
Entity  compiled.
114
Entity  (Architecture ) compiled.
115
Entity  compiled.
116
Entity  (Architecture ) compiled.
117
Entity  compiled.
118
Entity  (Architecture ) compiled.
119
Entity  compiled.
120
Entity  (Architecture ) compiled.
121
Entity  compiled.
122
Entity  (Architecture ) compiled.
123
Entity  compiled.
124
Entity  (Architecture ) compiled.
125
Entity  compiled.
126
Entity  (Architecture ) compiled.
127
Entity  compiled.
128
Entity  (Architecture ) compiled.
129
Entity  compiled.
130
Entity  (Architecture ) compiled.
131
Entity  compiled.
132
Entity  (Architecture ) compiled.
133
Entity  compiled.
134
Entity  (Architecture ) compiled.
135
Entity  compiled.
136
Entity  (Architecture ) compiled.
137
Entity  compiled.
138
Entity  (Architecture ) compiled.
139
Entity  compiled.
140
Entity  (Architecture ) compiled.
141
Entity  compiled.
142
Entity  (Architecture ) compiled.
143
Entity  compiled.
144
Entity  (Architecture ) compiled.
145
Entity  compiled.
146
Entity  (Architecture ) compiled.
147
Entity  compiled.
148
Entity  (Architecture ) compiled.
149
Entity  compiled.
150
Entity  (Architecture ) compiled.
151
Entity  compiled.
152
Entity  (Architecture ) compiled.
153
Entity  compiled.
154
Entity  (Architecture ) compiled.
155
Entity  compiled.
156
Entity  (Architecture ) compiled.
157
Entity  compiled.
158
Entity  (Architecture ) compiled.
159
Entity  compiled.
160
Entity  (Architecture ) compiled.
161
Entity  compiled.
162
Entity  (Architecture ) compiled.
163
Entity  compiled.
164
Entity  (Architecture ) compiled.
165
Entity  compiled.
166
Entity  (Architecture ) compiled.
167
Entity  compiled.
168
Entity  (Architecture ) compiled.
169
Entity  compiled.
170
Entity  (Architecture ) compiled.
171
Entity  compiled.
172
Entity  (Architecture ) compiled.
173
Entity  compiled.
174
Entity  (Architecture ) compiled.
175
Entity  compiled.
176
Entity  (Architecture ) compiled.
177
Entity  compiled.
178
Entity  (Architecture ) compiled.
179
Entity  compiled.
180
Entity  (Architecture ) compiled.
181
Entity  compiled.
182
Entity  (Architecture ) compiled.
183
Entity  compiled.
184
Entity  (Architecture ) compiled.
185
Entity  compiled.
186
Entity  (Architecture ) compiled.
187
Entity  compiled.
188
Entity  (Architecture ) compiled.
189
Entity  compiled.
190
Entity  (Architecture ) compiled.
191
Entity  compiled.
192
Entity  (Architecture ) compiled.
193
Entity  compiled.
194
Entity  (Architecture ) compiled.
195
Entity  compiled.
196
Entity  (Architecture ) compiled.
197
Entity  compiled.
198
Entity  (Architecture ) compiled.
199
Entity  compiled.
200
Entity  (Architecture ) compiled.
201
Entity  compiled.
202
Entity  (Architecture ) compiled.
203
Entity  compiled.
204
Entity  (Architecture ) compiled.
205
Entity  compiled.
206
Entity  (Architecture ) compiled.
207
 
208
 
209
Total REAL time to Xst completion: 1.00 secs
210
Total CPU time to Xst completion: 0.77 secs
211
 
212
-->
213
=========================================================================
214
*                          HDL Compilation                              *
215
=========================================================================
216
Compiling vhdl file "../../lib/grlib/amba/amba.vhd" in Library grlib.
217
Package  compiled.
218
Package body  compiled.
219
 
220
 
221
Total REAL time to Xst completion: 1.00 secs
222
Total CPU time to Xst completion: 0.83 secs
223
 
224
-->
225
=========================================================================
226
*                          HDL Compilation                              *
227
=========================================================================
228
Compiling vhdl file "../../lib/grlib/amba/devices.vhd" in Library grlib.
229
Package  compiled.
230
 
231
 
232
Total REAL time to Xst completion: 1.00 secs
233
Total CPU time to Xst completion: 0.87 secs
234
 
235
-->
236
=========================================================================
237
*                          HDL Compilation                              *
238
=========================================================================
239
Compiling vhdl file "../../lib/grlib/amba/defmst.vhd" in Library grlib.
240
Entity  compiled.
241
Entity  (Architecture ) compiled.
242
 
243
 
244
Total REAL time to Xst completion: 1.00 secs
245
Total CPU time to Xst completion: 0.91 secs
246
 
247
-->
248
=========================================================================
249
*                          HDL Compilation                              *
250
=========================================================================
251
Compiling vhdl file "../../lib/grlib/amba/apbctrl.vhd" in Library grlib.
252
Entity  compiled.
253
Entity  (Architecture ) compiled.
254
 
255
 
256
Total REAL time to Xst completion: 1.00 secs
257
Total CPU time to Xst completion: 0.95 secs
258
 
259
-->
260
=========================================================================
261
*                          HDL Compilation                              *
262
=========================================================================
263
Compiling vhdl file "../../lib/grlib/amba/ahbctrl.vhd" in Library grlib.
264
Entity  compiled.
265
Entity  (Architecture ) compiled.
266
 
267
 
268
Total REAL time to Xst completion: 1.00 secs
269
Total CPU time to Xst completion: 1.05 secs
270
 
271
-->
272
=========================================================================
273
*                          HDL Compilation                              *
274
=========================================================================
275
Compiling vhdl file "../../lib/grlib/amba/dma2ahb_pkg.vhd" in Library grlib.
276
Package  compiled.
277
 
278
 
279
Total REAL time to Xst completion: 1.00 secs
280
Total CPU time to Xst completion: 1.08 secs
281
 
282
-->
283
=========================================================================
284
*                          HDL Compilation                              *
285
=========================================================================
286
Compiling vhdl file "../../lib/grlib/amba/dma2ahb.vhd" in Library grlib.
287
Entity  compiled.
288
Entity  (Architecture ) compiled.
289
 
290
 
291
Total REAL time to Xst completion: 1.00 secs
292
Total CPU time to Xst completion: 1.12 secs
293
 
294
-->
295
=========================================================================
296
*                          HDL Compilation                              *
297
=========================================================================
298
Compiling vhdl file "../../lib/techmap/gencomp/gencomp.vhd" in Library techmap.
299
Package  compiled.
300
 
301
 
302
Total REAL time to Xst completion: 1.00 secs
303
Total CPU time to Xst completion: 1.19 secs
304
 
305
-->
306
=========================================================================
307
*                          HDL Compilation                              *
308
=========================================================================
309
Compiling vhdl file "../../lib/techmap/gencomp/netcomp.vhd" in Library techmap.
310
Package  compiled.
311
 
312
 
313
Total REAL time to Xst completion: 1.00 secs
314
Total CPU time to Xst completion: 1.25 secs
315
 
316
-->
317
=========================================================================
318
*                          HDL Compilation                              *
319
=========================================================================
320
Compiling vhdl file "../../lib/techmap/inferred/memory_inferred.vhd" in Library techmap.
321
Entity  compiled.
322
Entity  (Architecture ) compiled.
323
Entity  compiled.
324
Entity  (Architecture ) compiled.
325
Entity  compiled.
326
Entity  (Architecture ) compiled.
327
 
328
 
329
Total REAL time to Xst completion: 1.00 secs
330
Total CPU time to Xst completion: 1.30 secs
331
 
332
-->
333
=========================================================================
334
*                          HDL Compilation                              *
335
=========================================================================
336
Compiling vhdl file "../../lib/techmap/inferred/ddr_inferred.vhd" in Library techmap.
337
Entity  compiled.
338
Entity  (Architecture ) compiled.
339
Entity  compiled.
340
Entity  (Architecture ) compiled.
341
 
342
 
343
Total REAL time to Xst completion: 1.00 secs
344
Total CPU time to Xst completion: 1.33 secs
345
 
346
-->
347
=========================================================================
348
*                          HDL Compilation                              *
349
=========================================================================
350
Compiling vhdl file "../../lib/techmap/inferred/mul_inferred.vhd" in Library techmap.
351
Entity  compiled.
352
Entity  (Architecture ) compiled.
353
 
354
 
355
Total REAL time to Xst completion: 1.00 secs
356
Total CPU time to Xst completion: 1.36 secs
357
 
358
-->
359
=========================================================================
360
*                          HDL Compilation                              *
361
=========================================================================
362
Compiling vhdl file "../../lib/techmap/inferred/ddr_phy_inferred.vhd" in Library techmap.
363
Entity  compiled.
364
Entity  (Architecture ) compiled.
365
Entity  compiled.
366
Entity  (Architecture ) compiled.
367
Entity  compiled.
368
Entity  (Architecture ) compiled.
369
Entity  compiled.
370
Entity  (Architecture ) compiled.
371
 
372
 
373
Total REAL time to Xst completion: 1.00 secs
374
Total CPU time to Xst completion: 1.42 secs
375
 
376
-->
377
=========================================================================
378
*                          HDL Compilation                              *
379
=========================================================================
380
Compiling vhdl file "../../lib/techmap/unisim/memory_unisim.vhd" in Library techmap.
381
Entity  compiled.
382
Entity  (Architecture ) compiled.
383
Entity  compiled.
384
Entity  (Architecture ) compiled.
385
Entity  compiled.
386
Entity  (Architecture ) compiled.
387
Entity  compiled.
388
Entity  (Architecture ) compiled.
389
Entity  compiled.
390
Entity  (Architecture ) compiled.
391
Entity  compiled.
392
Entity  (Architecture ) compiled.
393
 
394
 
395
Total REAL time to Xst completion: 1.00 secs
396
Total CPU time to Xst completion: 1.50 secs
397
 
398
-->
399
=========================================================================
400
*                          HDL Compilation                              *
401
=========================================================================
402
Compiling vhdl file "../../lib/techmap/unisim/buffer_unisim.vhd" in Library techmap.
403
Entity  compiled.
404
Entity  (Architecture ) compiled.
405
Entity  compiled.
406
Entity  (Architecture ) compiled.
407
 
408
 
409
Total REAL time to Xst completion: 1.00 secs
410
Total CPU time to Xst completion: 1.55 secs
411
 
412
-->
413
=========================================================================
414
*                          HDL Compilation                              *
415
=========================================================================
416
Compiling vhdl file "../../lib/techmap/unisim/pads_unisim.vhd" in Library techmap.
417
Entity  compiled.
418
Entity  (Architecture ) compiled.
419
Entity  compiled.
420
Entity  (Architecture ) compiled.
421
Entity  compiled.
422
Entity  (Architecture ) compiled.
423
Entity  compiled.
424
Entity  (Architecture ) compiled.
425
Entity  compiled.
426
Entity  (Architecture ) compiled.
427
Entity  compiled.
428
Entity  (Architecture ) compiled.
429
Entity  compiled.
430
Entity  (Architecture ) compiled.
431
Entity  compiled.
432
Entity  (Architecture ) compiled.
433
Entity  compiled.
434
Entity  (Architecture ) compiled.
435
Entity  compiled.
436
Entity  (Architecture ) compiled.
437
Entity  compiled.
438
Entity  (Architecture ) compiled.
439
Entity  compiled.
440
Entity  (Architecture ) compiled.
441
Entity  compiled.
442
Entity  (Architecture ) compiled.
443
 
444
 
445
Total REAL time to Xst completion: 2.00 secs
446
Total CPU time to Xst completion: 1.62 secs
447
 
448
-->
449
=========================================================================
450
*                          HDL Compilation                              *
451
=========================================================================
452
Compiling vhdl file "../../lib/techmap/unisim/clkgen_unisim.vhd" in Library techmap.
453
Entity  compiled.
454
Entity  (Architecture ) compiled.
455
Entity  compiled.
456
Entity  (Architecture ) compiled.
457
Entity  compiled.
458
Entity  (Architecture ) compiled.
459
Entity  compiled.
460
Entity  (Architecture ) compiled.
461
Entity  compiled.
462
Entity  (Architecture ) compiled.
463
Entity  compiled.
464
Entity  (Architecture ) compiled.
465
Entity  compiled.
466
Entity  (Architecture ) compiled.
467
 
468
 
469
Total REAL time to Xst completion: 2.00 secs
470
Total CPU time to Xst completion: 1.69 secs
471
 
472
-->
473
=========================================================================
474
*                          HDL Compilation                              *
475
=========================================================================
476
Compiling vhdl file "../../lib/techmap/unisim/tap_unisim.vhd" in Library techmap.
477
Entity  compiled.
478
Entity  (Architecture ) compiled.
479
Entity  compiled.
480
Entity  (Architecture ) compiled.
481
Entity  compiled.
482
Entity  (Architecture ) compiled.
483
Entity  compiled.
484
Entity  (Architecture ) compiled.
485
Entity  compiled.
486
Entity  (Architecture ) compiled.
487
 
488
 
489
Total REAL time to Xst completion: 2.00 secs
490
Total CPU time to Xst completion: 1.73 secs
491
 
492
-->
493
=========================================================================
494
*                          HDL Compilation                              *
495
=========================================================================
496
Compiling vhdl file "../../lib/techmap/unisim/ddr_unisim.vhd" in Library techmap.
497
Entity  compiled.
498
Entity  (Architecture ) compiled.
499
Entity  compiled.
500
Entity  (Architecture ) compiled.
501
Entity  compiled.
502
Entity  (Architecture ) compiled.
503
Entity  compiled.
504
Entity  (Architecture ) compiled.
505
 
506
 
507
Total REAL time to Xst completion: 2.00 secs
508
Total CPU time to Xst completion: 1.79 secs
509
 
510
-->
511
=========================================================================
512
*                          HDL Compilation                              *
513
=========================================================================
514
Compiling vhdl file "../../lib/techmap/unisim/ddr_phy_unisim.vhd" in Library techmap.
515
Entity  compiled.
516
Entity  (Architecture ) compiled.
517
Entity  compiled.
518
Entity  (Architecture ) compiled.
519
Entity  compiled.
520
Entity  (Architecture ) compiled.
521
Entity  compiled.
522
Entity  (Architecture ) compiled.
523
Entity  compiled.
524
Entity  (Architecture ) compiled.
525
 
526
 
527
Total REAL time to Xst completion: 2.00 secs
528
Total CPU time to Xst completion: 1.92 secs
529
 
530
-->
531
=========================================================================
532
*                          HDL Compilation                              *
533
=========================================================================
534
Compiling vhdl file "../../lib/techmap/unisim/grspwc_unisim.vhd" in Library techmap.
535
Entity  compiled.
536
Entity  (Architecture ) compiled.
537
 
538
 
539
Total REAL time to Xst completion: 2.00 secs
540
Total CPU time to Xst completion: 1.97 secs
541
 
542
-->
543
=========================================================================
544
*                          HDL Compilation                              *
545
=========================================================================
546
Compiling vhdl file "../../lib/techmap/unisim/grfpw_unisim.vhd" in Library techmap.
547
Entity  compiled.
548
Entity  (Architecture ) compiled.
549
 
550
 
551
Total REAL time to Xst completion: 2.00 secs
552
Total CPU time to Xst completion: 2.03 secs
553
 
554
-->
555
=========================================================================
556
*                          HDL Compilation                              *
557
=========================================================================
558
Compiling vhdl file "../../lib/techmap/unisim/grusbhc_unisimpkg.vhd" in Library techmap.
559
Package  compiled.
560
Package body  compiled.
561
 
562
 
563
Total REAL time to Xst completion: 2.00 secs
564
Total CPU time to Xst completion: 2.11 secs
565
 
566
-->
567
=========================================================================
568
*                          HDL Compilation                              *
569
=========================================================================
570
Compiling vhdl file "../../lib/techmap/unisim/grusbhc_unisim.vhd" in Library techmap.
571
Entity  compiled.
572
Entity  (Architecture ) compiled.
573
 
574
 
575
Total REAL time to Xst completion: 2.00 secs
576
Total CPU time to Xst completion: 2.17 secs
577
 
578
-->
579
=========================================================================
580
*                          HDL Compilation                              *
581
=========================================================================
582
Compiling vhdl file "../../lib/techmap/unisim/ssrctrl_unisim.vhd" in Library techmap.
583
Entity  compiled.
584
Entity  compiled.
585
Entity  (Architecture ) compiled.
586
Entity  (Architecture ) compiled.
587
 
588
 
589
Total REAL time to Xst completion: 2.00 secs
590
Total CPU time to Xst completion: 2.42 secs
591
 
592
-->
593
=========================================================================
594
*                          HDL Compilation                              *
595
=========================================================================
596
Compiling vhdl file "../../lib/techmap/maps/allclkgen.vhd" in Library techmap.
597
Package  compiled.
598
 
599
 
600
Total REAL time to Xst completion: 2.00 secs
601
Total CPU time to Xst completion: 2.48 secs
602
 
603
-->
604
=========================================================================
605
*                          HDL Compilation                              *
606
=========================================================================
607
Compiling vhdl file "../../lib/techmap/maps/allddr.vhd" in Library techmap.
608
Package  compiled.
609
 
610
 
611
Total REAL time to Xst completion: 2.00 secs
612
Total CPU time to Xst completion: 2.56 secs
613
 
614
-->
615
=========================================================================
616
*                          HDL Compilation                              *
617
=========================================================================
618
Compiling vhdl file "../../lib/techmap/maps/allmem.vhd" in Library techmap.
619
Package  compiled.
620
 
621
 
622
Total REAL time to Xst completion: 3.00 secs
623
Total CPU time to Xst completion: 2.62 secs
624
 
625
-->
626
=========================================================================
627
*                          HDL Compilation                              *
628
=========================================================================
629
Compiling vhdl file "../../lib/techmap/maps/allpads.vhd" in Library techmap.
630
Package  compiled.
631
 
632
 
633
Total REAL time to Xst completion: 3.00 secs
634
Total CPU time to Xst completion: 2.68 secs
635
 
636
-->
637
=========================================================================
638
*                          HDL Compilation                              *
639
=========================================================================
640
Compiling vhdl file "../../lib/techmap/maps/alltap.vhd" in Library techmap.
641
Package  compiled.
642
 
643
 
644
Total REAL time to Xst completion: 3.00 secs
645
Total CPU time to Xst completion: 2.75 secs
646
 
647
-->
648
=========================================================================
649
*                          HDL Compilation                              *
650
=========================================================================
651
Compiling vhdl file "../../lib/techmap/maps/clkgen.vhd" in Library techmap.
652
Entity  compiled.
653
Entity  (Architecture ) compiled.
654
 
655
 
656
Total REAL time to Xst completion: 3.00 secs
657
Total CPU time to Xst completion: 2.81 secs
658
 
659
-->
660
=========================================================================
661
*                          HDL Compilation                              *
662
=========================================================================
663
Compiling vhdl file "../../lib/techmap/maps/clkmux.vhd" in Library techmap.
664
Entity  compiled.
665
Entity  (Architecture ) compiled.
666
 
667
 
668
Total REAL time to Xst completion: 3.00 secs
669
Total CPU time to Xst completion: 2.89 secs
670
 
671
-->
672
=========================================================================
673
*                          HDL Compilation                              *
674
=========================================================================
675
Compiling vhdl file "../../lib/techmap/maps/clkand.vhd" in Library techmap.
676
Entity  compiled.
677
Entity  (Architecture ) compiled.
678
 
679
 
680
Total REAL time to Xst completion: 3.00 secs
681
Total CPU time to Xst completion: 2.95 secs
682
 
683
-->
684
=========================================================================
685
*                          HDL Compilation                              *
686
=========================================================================
687
Compiling vhdl file "../../lib/techmap/maps/ddr_ireg.vhd" in Library techmap.
688
Entity  compiled.
689
Entity  (Architecture ) compiled.
690
 
691
 
692
Total REAL time to Xst completion: 3.00 secs
693
Total CPU time to Xst completion: 3.01 secs
694
 
695
-->
696
=========================================================================
697
*                          HDL Compilation                              *
698
=========================================================================
699
Compiling vhdl file "../../lib/techmap/maps/ddr_oreg.vhd" in Library techmap.
700
Entity  compiled.
701
Entity  (Architecture ) compiled.
702
 
703
 
704
Total REAL time to Xst completion: 3.00 secs
705
Total CPU time to Xst completion: 3.09 secs
706
 
707
-->
708
=========================================================================
709
*                          HDL Compilation                              *
710
=========================================================================
711
Compiling vhdl file "../../lib/techmap/maps/ddrphy.vhd" in Library techmap.
712
Entity  compiled.
713
Entity  (Architecture ) compiled.
714
Entity  compiled.
715
Entity  (Architecture ) compiled.
716
 
717
 
718
Total REAL time to Xst completion: 3.00 secs
719
Total CPU time to Xst completion: 3.17 secs
720
 
721
-->
722
=========================================================================
723
*                          HDL Compilation                              *
724
=========================================================================
725
Compiling vhdl file "../../lib/techmap/maps/syncram.vhd" in Library techmap.
726
Entity  compiled.
727
Entity  (Architecture ) compiled.
728
 
729
 
730
Total REAL time to Xst completion: 3.00 secs
731
Total CPU time to Xst completion: 3.23 secs
732
 
733
-->
734
=========================================================================
735
*                          HDL Compilation                              *
736
=========================================================================
737
Compiling vhdl file "../../lib/techmap/maps/syncram64.vhd" in Library techmap.
738
Entity  compiled.
739
Entity  (Architecture ) compiled.
740
 
741
 
742
Total REAL time to Xst completion: 3.00 secs
743
Total CPU time to Xst completion: 3.31 secs
744
 
745
-->
746
=========================================================================
747
*                          HDL Compilation                              *
748
=========================================================================
749
Compiling vhdl file "../../lib/techmap/maps/syncram_2p.vhd" in Library techmap.
750
Entity  compiled.
751
Entity  (Architecture ) compiled.
752
 
753
 
754
Total REAL time to Xst completion: 3.00 secs
755
Total CPU time to Xst completion: 3.37 secs
756
 
757
-->
758
=========================================================================
759
*                          HDL Compilation                              *
760
=========================================================================
761
Compiling vhdl file "../../lib/techmap/maps/syncram_dp.vhd" in Library techmap.
762
Entity  compiled.
763
Entity  (Architecture ) compiled.
764
 
765
 
766
Total REAL time to Xst completion: 3.00 secs
767
Total CPU time to Xst completion: 3.45 secs
768
 
769
-->
770
=========================================================================
771
*                          HDL Compilation                              *
772
=========================================================================
773
Compiling vhdl file "../../lib/techmap/maps/syncfifo.vhd" in Library techmap.
774
Entity  compiled.
775
Entity  (Architecture ) compiled.
776
 
777
 
778
Total REAL time to Xst completion: 3.00 secs
779
Total CPU time to Xst completion: 3.53 secs
780
 
781
-->
782
=========================================================================
783
*                          HDL Compilation                              *
784
=========================================================================
785
Compiling vhdl file "../../lib/techmap/maps/regfile_3p.vhd" in Library techmap.
786
Entity  compiled.
787
Entity  (Architecture ) compiled.
788
 
789
 
790
Total REAL time to Xst completion: 4.00 secs
791
Total CPU time to Xst completion: 3.60 secs
792
 
793
-->
794
=========================================================================
795
*                          HDL Compilation                              *
796
=========================================================================
797
Compiling vhdl file "../../lib/techmap/maps/tap.vhd" in Library techmap.
798
Entity  compiled.
799
Entity  (Architecture ) compiled.
800
 
801
 
802
Total REAL time to Xst completion: 4.00 secs
803
Total CPU time to Xst completion: 3.67 secs
804
 
805
-->
806
=========================================================================
807
*                          HDL Compilation                              *
808
=========================================================================
809
Compiling vhdl file "../../lib/techmap/maps/techbuf.vhd" in Library techmap.
810
Entity  compiled.
811
Entity  (Architecture ) compiled.
812
 
813
 
814
Total REAL time to Xst completion: 4.00 secs
815
Total CPU time to Xst completion: 3.74 secs
816
 
817
-->
818
=========================================================================
819
*                          HDL Compilation                              *
820
=========================================================================
821
Compiling vhdl file "../../lib/techmap/maps/clkpad.vhd" in Library techmap.
822
Entity  compiled.
823
Entity  (Architecture ) compiled.
824
 
825
 
826
Total REAL time to Xst completion: 4.00 secs
827
Total CPU time to Xst completion: 3.81 secs
828
 
829
-->
830
=========================================================================
831
*                          HDL Compilation                              *
832
=========================================================================
833
Compiling vhdl file "../../lib/techmap/maps/clkpad_ds.vhd" in Library techmap.
834
Entity  compiled.
835
Entity  (Architecture ) compiled.
836
 
837
 
838
Total REAL time to Xst completion: 4.00 secs
839
Total CPU time to Xst completion: 3.88 secs
840
 
841
-->
842
=========================================================================
843
*                          HDL Compilation                              *
844
=========================================================================
845
Compiling vhdl file "../../lib/techmap/maps/inpad.vhd" in Library techmap.
846
Entity  compiled.
847
Entity  (Architecture ) compiled.
848
Entity  compiled.
849
Entity  (Architecture ) compiled.
850
 
851
 
852
Total REAL time to Xst completion: 4.00 secs
853
Total CPU time to Xst completion: 3.96 secs
854
 
855
-->
856
=========================================================================
857
*                          HDL Compilation                              *
858
=========================================================================
859
Compiling vhdl file "../../lib/techmap/maps/inpad_ds.vhd" in Library techmap.
860
Entity  compiled.
861
Entity  (Architecture ) compiled.
862
Entity  compiled.
863
Entity  (Architecture ) compiled.
864
 
865
 
866
Total REAL time to Xst completion: 4.00 secs
867
Total CPU time to Xst completion: 4.03 secs
868
 
869
-->
870
=========================================================================
871
*                          HDL Compilation                              *
872
=========================================================================
873
Compiling vhdl file "../../lib/techmap/maps/iodpad.vhd" in Library techmap.
874
Entity  compiled.
875
Entity  (Architecture ) compiled.
876
Entity  compiled.
877
Entity  (Architecture ) compiled.
878
 
879
 
880
Total REAL time to Xst completion: 4.00 secs
881
Total CPU time to Xst completion: 4.10 secs
882
 
883
-->
884
=========================================================================
885
*                          HDL Compilation                              *
886
=========================================================================
887
Compiling vhdl file "../../lib/techmap/maps/iopad.vhd" in Library techmap.
888
Entity  compiled.
889
Entity  (Architecture ) compiled.
890
Entity  compiled.
891
Entity  (Architecture ) compiled.
892
Entity  compiled.
893
Entity  (Architecture ) compiled.
894
 
895
 
896
Total REAL time to Xst completion: 4.00 secs
897
Total CPU time to Xst completion: 4.18 secs
898
 
899
-->
900
=========================================================================
901
*                          HDL Compilation                              *
902
=========================================================================
903
Compiling vhdl file "../../lib/techmap/maps/iopad_ds.vhd" in Library techmap.
904
Entity  compiled.
905
Entity  (Architecture ) compiled.
906
Entity  compiled.
907
Entity  (Architecture ) compiled.
908
Entity  compiled.
909
Entity  (Architecture ) compiled.
910
 
911
 
912
Total REAL time to Xst completion: 4.00 secs
913
Total CPU time to Xst completion: 4.26 secs
914
 
915
-->
916
=========================================================================
917
*                          HDL Compilation                              *
918
=========================================================================
919
Compiling vhdl file "../../lib/techmap/maps/lvds_combo.vhd" in Library techmap.
920
Entity  compiled.
921
Entity  (Architecture ) compiled.
922
 
923
 
924
Total REAL time to Xst completion: 4.00 secs
925
Total CPU time to Xst completion: 4.34 secs
926
 
927
-->
928
=========================================================================
929
*                          HDL Compilation                              *
930
=========================================================================
931
Compiling vhdl file "../../lib/techmap/maps/odpad.vhd" in Library techmap.
932
Entity  compiled.
933
Entity  (Architecture ) compiled.
934
Entity  compiled.
935
Entity  (Architecture ) compiled.
936
 
937
 
938
Total REAL time to Xst completion: 4.00 secs
939
Total CPU time to Xst completion: 4.43 secs
940
 
941
-->
942
=========================================================================
943
*                          HDL Compilation                              *
944
=========================================================================
945
Compiling vhdl file "../../lib/techmap/maps/outpad.vhd" in Library techmap.
946
Entity  compiled.
947
Entity  (Architecture ) compiled.
948
Entity  compiled.
949
Entity  (Architecture ) compiled.
950
 
951
 
952
Total REAL time to Xst completion: 4.00 secs
953
Total CPU time to Xst completion: 4.51 secs
954
 
955
-->
956
=========================================================================
957
*                          HDL Compilation                              *
958
=========================================================================
959
Compiling vhdl file "../../lib/techmap/maps/outpad_ds.vhd" in Library techmap.
960
Entity  compiled.
961
Entity  (Architecture ) compiled.
962
Entity  compiled.
963
Entity  (Architecture ) compiled.
964
 
965
 
966
Total REAL time to Xst completion: 5.00 secs
967
Total CPU time to Xst completion: 4.59 secs
968
 
969
-->
970
=========================================================================
971
*                          HDL Compilation                              *
972
=========================================================================
973
Compiling vhdl file "../../lib/techmap/maps/toutpad.vhd" in Library techmap.
974
Entity  compiled.
975
Entity  (Architecture ) compiled.
976
Entity  compiled.
977
Entity  (Architecture ) compiled.
978
Entity  compiled.
979
Entity  (Architecture ) compiled.
980
 
981
 
982
Total REAL time to Xst completion: 5.00 secs
983
Total CPU time to Xst completion: 4.67 secs
984
 
985
-->
986
=========================================================================
987
*                          HDL Compilation                              *
988
=========================================================================
989
Compiling vhdl file "../../lib/techmap/maps/skew_outpad.vhd" in Library techmap.
990
Entity  compiled.
991
Entity  (Architecture ) compiled.
992
 
993
 
994
Total REAL time to Xst completion: 5.00 secs
995
Total CPU time to Xst completion: 4.74 secs
996
 
997
-->
998
=========================================================================
999
*                          HDL Compilation                              *
1000
=========================================================================
1001
Compiling vhdl file "../../lib/techmap/maps/grspwc_net.vhd" in Library techmap.
1002
Entity  compiled.
1003
Entity  (Architecture ) compiled.
1004
 
1005
 
1006
Total REAL time to Xst completion: 5.00 secs
1007
Total CPU time to Xst completion: 4.84 secs
1008
 
1009
-->
1010
=========================================================================
1011
*                          HDL Compilation                              *
1012
=========================================================================
1013
Compiling vhdl file "../../lib/techmap/maps/grlfpw_net.vhd" in Library techmap.
1014
Entity  compiled.
1015
Entity  (Architecture ) compiled.
1016
 
1017
 
1018
Total REAL time to Xst completion: 5.00 secs
1019
Total CPU time to Xst completion: 4.91 secs
1020
 
1021
-->
1022
=========================================================================
1023
*                          HDL Compilation                              *
1024
=========================================================================
1025
Compiling vhdl file "../../lib/techmap/maps/grfpw_net.vhd" in Library techmap.
1026
Entity  compiled.
1027
Entity  (Architecture ) compiled.
1028
 
1029
 
1030
Total REAL time to Xst completion: 5.00 secs
1031
Total CPU time to Xst completion: 4.99 secs
1032
 
1033
-->
1034
=========================================================================
1035
*                          HDL Compilation                              *
1036
=========================================================================
1037
Compiling vhdl file "../../lib/techmap/maps/mul_61x61.vhd" in Library techmap.
1038
Entity  compiled.
1039
Entity  (Architecture ) compiled.
1040
 
1041
 
1042
Total REAL time to Xst completion: 5.00 secs
1043
Total CPU time to Xst completion: 5.07 secs
1044
 
1045
-->
1046
=========================================================================
1047
*                          HDL Compilation                              *
1048
=========================================================================
1049
Compiling vhdl file "../../lib/techmap/maps/cpu_disas_net.vhd" in Library techmap.
1050
Entity  compiled.
1051
Entity  (Architecture ) compiled.
1052
Entity  compiled.
1053
Entity  (Architecture ) compiled.
1054
 
1055
 
1056
Total REAL time to Xst completion: 5.00 secs
1057
Total CPU time to Xst completion: 5.15 secs
1058
 
1059
-->
1060
=========================================================================
1061
*                          HDL Compilation                              *
1062
=========================================================================
1063
Compiling vhdl file "../../lib/techmap/maps/grusbhc_net.vhd" in Library techmap.
1064
Entity  compiled.
1065
Entity  (Architecture ) compiled.
1066
 
1067
 
1068
Total REAL time to Xst completion: 5.00 secs
1069
Total CPU time to Xst completion: 5.26 secs
1070
 
1071
-->
1072
=========================================================================
1073
*                          HDL Compilation                              *
1074
=========================================================================
1075
Compiling vhdl file "../../lib/techmap/maps/ringosc.vhd" in Library techmap.
1076
Entity  compiled.
1077
Entity  (Architecture ) compiled.
1078
 
1079
 
1080
Total REAL time to Xst completion: 5.00 secs
1081
Total CPU time to Xst completion: 5.34 secs
1082
 
1083
-->
1084
=========================================================================
1085
*                          HDL Compilation                              *
1086
=========================================================================
1087
Compiling vhdl file "../../lib/techmap/maps/ssrctrl_net.vhd" in Library techmap.
1088
Entity  compiled.
1089
Entity  (Architecture ) compiled.
1090
 
1091
 
1092
Total REAL time to Xst completion: 5.00 secs
1093
Total CPU time to Xst completion: 5.43 secs
1094
 
1095
-->
1096
=========================================================================
1097
*                          HDL Compilation                              *
1098
=========================================================================
1099
Compiling vhdl file "../../lib/spw/comp/spwcomp.vhd" in Library spw.
1100
Package  compiled.
1101
 
1102
 
1103
Total REAL time to Xst completion: 5.00 secs
1104
Total CPU time to Xst completion: 5.45 secs
1105
 
1106
-->
1107
=========================================================================
1108
*                          HDL Compilation                              *
1109
=========================================================================
1110
Compiling vhdl file "../../lib/spw/wrapper/grspw_gen.vhd" in Library spw.
1111
Entity  compiled.
1112
Entity  (Architecture ) compiled.
1113
 
1114
 
1115
Total REAL time to Xst completion: 5.00 secs
1116
Total CPU time to Xst completion: 5.52 secs
1117
 
1118
-->
1119
=========================================================================
1120
*                          HDL Compilation                              *
1121
=========================================================================
1122
Compiling vhdl file "../../lib/eth/comp/ethcomp.vhd" in Library eth.
1123
Package  compiled.
1124
 
1125
 
1126
Total REAL time to Xst completion: 5.00 secs
1127
Total CPU time to Xst completion: 5.55 secs
1128
 
1129
-->
1130
=========================================================================
1131
*                          HDL Compilation                              *
1132
=========================================================================
1133
Compiling vhdl file "../../lib/eth/core/greth_pkg.vhd" in Library eth.
1134
Package  compiled.
1135
Package body  compiled.
1136
 
1137
 
1138
Total REAL time to Xst completion: 6.00 secs
1139
Total CPU time to Xst completion: 5.60 secs
1140
 
1141
-->
1142
=========================================================================
1143
*                          HDL Compilation                              *
1144
=========================================================================
1145
Compiling vhdl file "../../lib/eth/core/eth_rstgen.vhd" in Library eth.
1146
Entity  compiled.
1147
Entity  (Architecture ) compiled.
1148
 
1149
 
1150
Total REAL time to Xst completion: 6.00 secs
1151
Total CPU time to Xst completion: 5.63 secs
1152
 
1153
-->
1154
=========================================================================
1155
*                          HDL Compilation                              *
1156
=========================================================================
1157
Compiling vhdl file "../../lib/eth/core/eth_ahb_mst.vhd" in Library eth.
1158
Entity  compiled.
1159
Entity  (Architecture ) compiled.
1160
 
1161
 
1162
Total REAL time to Xst completion: 6.00 secs
1163
Total CPU time to Xst completion: 5.68 secs
1164
 
1165
-->
1166
=========================================================================
1167
*                          HDL Compilation                              *
1168
=========================================================================
1169
Compiling vhdl file "../../lib/eth/core/greth_tx.vhd" in Library eth.
1170
Entity  compiled.
1171
Entity  (Architecture ) compiled.
1172
 
1173
 
1174
Total REAL time to Xst completion: 6.00 secs
1175
Total CPU time to Xst completion: 5.74 secs
1176
 
1177
-->
1178
=========================================================================
1179
*                          HDL Compilation                              *
1180
=========================================================================
1181
Compiling vhdl file "../../lib/eth/core/greth_rx.vhd" in Library eth.
1182
Entity  compiled.
1183
Entity  (Architecture ) compiled.
1184
 
1185
 
1186
Total REAL time to Xst completion: 6.00 secs
1187
Total CPU time to Xst completion: 5.79 secs
1188
 
1189
-->
1190
=========================================================================
1191
*                          HDL Compilation                              *
1192
=========================================================================
1193
Compiling vhdl file "../../lib/eth/core/grethc.vhd" in Library eth.
1194
Entity  compiled.
1195
Entity  (Architecture ) compiled.
1196
 
1197
 
1198
Total REAL time to Xst completion: 6.00 secs
1199
Total CPU time to Xst completion: 6.02 secs
1200
 
1201
-->
1202
=========================================================================
1203
*                          HDL Compilation                              *
1204
=========================================================================
1205
Compiling vhdl file "../../lib/eth/wrapper/greth_gen.vhd" in Library eth.
1206
Entity  compiled.
1207
Entity  (Architecture ) compiled.
1208
 
1209
 
1210
Total REAL time to Xst completion: 6.00 secs
1211
Total CPU time to Xst completion: 6.10 secs
1212
 
1213
-->
1214
=========================================================================
1215
*                          HDL Compilation                              *
1216
=========================================================================
1217
Compiling vhdl file "../../lib/eth/wrapper/greth_gbit_gen.vhd" in Library eth.
1218
Entity  compiled.
1219
Entity  (Architecture ) compiled.
1220
 
1221
 
1222
Total REAL time to Xst completion: 6.00 secs
1223
Total CPU time to Xst completion: 6.18 secs
1224
 
1225
-->
1226
=========================================================================
1227
*                          HDL Compilation                              *
1228
=========================================================================
1229
Compiling vhdl file "../../lib/opencores/occomp/occomp.vhd" in Library opencores.
1230
Package  compiled.
1231
 
1232
 
1233
Total REAL time to Xst completion: 6.00 secs
1234
Total CPU time to Xst completion: 6.19 secs
1235
 
1236
-->
1237
=========================================================================
1238
*                          HDL Compilation                              *
1239
=========================================================================
1240
Compiling vhdl file "../../lib/opencores/can/cancomp.vhd" in Library opencores.
1241
Package  compiled.
1242
 
1243
 
1244
Total REAL time to Xst completion: 6.00 secs
1245
Total CPU time to Xst completion: 6.21 secs
1246
 
1247
-->
1248
=========================================================================
1249
*                          HDL Compilation                              *
1250
=========================================================================
1251
Compiling vhdl file "../../lib/opencores/can/can_top.vhd" in Library opencores.
1252
Entity  compiled.
1253
Entity  (Architecture ) compiled.
1254
Entity  compiled.
1255
Entity  (Architecture ) compiled.
1256
Entity  compiled.
1257
Entity  (Architecture ) compiled.
1258
Entity  compiled.
1259
Entity  (Architecture ) compiled.
1260
Entity  compiled.
1261
Entity  (Architecture ) compiled.
1262
Entity  compiled.
1263
Entity  (Architecture ) compiled.
1264
Entity  compiled.
1265
Entity  (Architecture ) compiled.
1266
Entity  compiled.
1267
Entity  (Architecture ) compiled.
1268
Entity  compiled.
1269
Entity  (Architecture ) compiled.
1270
Entity  compiled.
1271
Entity  (Architecture ) compiled.
1272
Entity  compiled.
1273
Entity  (Architecture ) compiled.
1274
Entity  compiled.
1275
Entity  (Architecture ) compiled.
1276
 
1277
 
1278
Total REAL time to Xst completion: 6.00 secs
1279
Total CPU time to Xst completion: 6.47 secs
1280
 
1281
-->
1282
=========================================================================
1283
*                          HDL Compilation                              *
1284
=========================================================================
1285
Compiling vhdl file "../../lib/opencores/can/can_top_core_sync.vhd" in Library opencores.
1286
Entity  compiled.
1287
Entity  (Architecture ) compiled.
1288
Entity  compiled.
1289
Entity  (Architecture ) compiled.
1290
Entity  compiled.
1291
Entity  (Architecture ) compiled.
1292
Entity  compiled.
1293
Entity  (Architecture ) compiled.
1294
Entity  compiled.
1295
Entity  (Architecture ) compiled.
1296
 
1297
 
1298
Total REAL time to Xst completion: 7.00 secs
1299
Total CPU time to Xst completion: 6.63 secs
1300
 
1301
-->
1302
=========================================================================
1303
*                          HDL Compilation                              *
1304
=========================================================================
1305
Compiling vhdl file "../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd" in Library opencores.
1306
Entity  compiled.
1307
Entity  (Architecture ) compiled.
1308
 
1309
 
1310
Total REAL time to Xst completion: 7.00 secs
1311
Total CPU time to Xst completion: 6.68 secs
1312
 
1313
-->
1314
=========================================================================
1315
*                          HDL Compilation                              *
1316
=========================================================================
1317
Compiling vhdl file "../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd" in Library opencores.
1318
Entity  compiled.
1319
Entity  (Architecture ) compiled.
1320
 
1321
 
1322
Total REAL time to Xst completion: 7.00 secs
1323
Total CPU time to Xst completion: 6.72 secs
1324
 
1325
-->
1326
=========================================================================
1327
*                          HDL Compilation                              *
1328
=========================================================================
1329
Compiling vhdl file "../../lib/opencores/i2c/i2coc.vhd" in Library opencores.
1330
Package  compiled.
1331
 
1332
 
1333
Total REAL time to Xst completion: 7.00 secs
1334
Total CPU time to Xst completion: 6.77 secs
1335
 
1336
-->
1337
=========================================================================
1338
*                          HDL Compilation                              *
1339
=========================================================================
1340
Compiling verilog file "../../lib/opencores/spi/simple_spi_top.v" in library opencores
1341
Module  compiled
1342
Module  compiled
1343
 
1344
 
1345
Total REAL time to Xst completion: 7.00 secs
1346
Total CPU time to Xst completion: 6.79 secs
1347
 
1348
-->
1349
=========================================================================
1350
*                          HDL Compilation                              *
1351
=========================================================================
1352
Compiling vhdl file "../../lib/opencores/ata/ud_cnt.vhd" in Library opencores.
1353
Entity  compiled.
1354
Entity  (Architecture ) compiled.
1355
 
1356
 
1357
Total REAL time to Xst completion: 7.00 secs
1358
Total CPU time to Xst completion: 6.83 secs
1359
 
1360
-->
1361
=========================================================================
1362
*                          HDL Compilation                              *
1363
=========================================================================
1364
Compiling vhdl file "../../lib/opencores/ata/ro_cnt.vhd" in Library opencores.
1365
Entity  compiled.
1366
Entity  (Architecture ) compiled.
1367
 
1368
 
1369
Total REAL time to Xst completion: 7.00 secs
1370
Total CPU time to Xst completion: 6.86 secs
1371
 
1372
-->
1373
=========================================================================
1374
*                          HDL Compilation                              *
1375
=========================================================================
1376
Compiling vhdl file "../../lib/opencores/ata/atahost_dma_fifo.vhd" in Library opencores.
1377
Entity  compiled.
1378
Entity  (Architecture ) compiled.
1379
 
1380
 
1381
Total REAL time to Xst completion: 7.00 secs
1382
Total CPU time to Xst completion: 6.94 secs
1383
 
1384
-->
1385
=========================================================================
1386
*                          HDL Compilation                              *
1387
=========================================================================
1388
Compiling vhdl file "../../lib/opencores/ata/atahost_dma_actrl.vhd" in Library opencores.
1389
Entity  compiled.
1390
Entity  (Architecture ) compiled.
1391
 
1392
 
1393
Total REAL time to Xst completion: 7.00 secs
1394
Total CPU time to Xst completion: 7.04 secs
1395
 
1396
-->
1397
=========================================================================
1398
*                          HDL Compilation                              *
1399
=========================================================================
1400
Compiling vhdl file "../../lib/opencores/ata/atahost_dma_tctrl.vhd" in Library opencores.
1401
Entity  compiled.
1402
Entity  (Architecture ) compiled.
1403
 
1404
 
1405
Total REAL time to Xst completion: 7.00 secs
1406
Total CPU time to Xst completion: 7.13 secs
1407
 
1408
-->
1409
=========================================================================
1410
*                          HDL Compilation                              *
1411
=========================================================================
1412
Compiling vhdl file "../../lib/opencores/ata/atahost_pio_tctrl.vhd" in Library opencores.
1413
Entity  compiled.
1414
Entity  (Architecture ) compiled.
1415
 
1416
 
1417
Total REAL time to Xst completion: 7.00 secs
1418
Total CPU time to Xst completion: 7.21 secs
1419
 
1420
-->
1421
=========================================================================
1422
*                          HDL Compilation                              *
1423
=========================================================================
1424
Compiling vhdl file "../../lib/opencores/ata/atahost_pio_actrl.vhd" in Library opencores.
1425
Entity  compiled.
1426
Entity  (Architecture ) compiled.
1427
 
1428
 
1429
Total REAL time to Xst completion: 7.00 secs
1430
Total CPU time to Xst completion: 7.30 secs
1431
 
1432
-->
1433
=========================================================================
1434
*                          HDL Compilation                              *
1435
=========================================================================
1436
Compiling vhdl file "../../lib/opencores/ata/atahost_controller.vhd" in Library opencores.
1437
Entity  compiled.
1438
Entity  (Architecture ) compiled.
1439
 
1440
 
1441
Total REAL time to Xst completion: 7.00 secs
1442
Total CPU time to Xst completion: 7.38 secs
1443
 
1444
-->
1445
=========================================================================
1446
*                          HDL Compilation                              *
1447
=========================================================================
1448
Compiling vhdl file "../../lib/opencores/ata/atahost_pio_controller.vhd" in Library opencores.
1449
Entity  compiled.
1450
Entity  (Architecture ) compiled.
1451
 
1452
 
1453
Total REAL time to Xst completion: 7.00 secs
1454
Total CPU time to Xst completion: 7.47 secs
1455
 
1456
-->
1457
=========================================================================
1458
*                          HDL Compilation                              *
1459
=========================================================================
1460
Compiling vhdl file "../../lib/opencores/ata/ocidec2_controller.vhd" in Library opencores.
1461
Entity  compiled.
1462
Entity  (Architecture ) compiled.
1463
 
1464
 
1465
Total REAL time to Xst completion: 7.00 secs
1466
Total CPU time to Xst completion: 7.55 secs
1467
 
1468
-->
1469
=========================================================================
1470
*                          HDL Compilation                              *
1471
=========================================================================
1472
Compiling verilog file "../../lib/opencores/ac97/ac97_top.v" in library opencores
1473
Module  compiled
1474
Module  compiled
1475
Module  compiled
1476
Module  compiled
1477
Module  compiled
1478
Module  compiled
1479
Module  compiled
1480
Module  compiled
1481
Module  compiled
1482
Module  compiled
1483
Module  compiled
1484
Module  compiled
1485
Module  compiled
1486
Module  compiled
1487
Module  compiled
1488
 
1489
 
1490
Total REAL time to Xst completion: 8.00 secs
1491
Total CPU time to Xst completion: 7.61 secs
1492
 
1493
-->
1494
=========================================================================
1495
*                          HDL Compilation                              *
1496
=========================================================================
1497
Compiling vhdl file "../../lib/gaisler/arith/arith.vhd" in Library gaisler.
1498
Package  compiled.
1499
Package body  compiled.
1500
 
1501
 
1502
Total REAL time to Xst completion: 8.00 secs
1503
Total CPU time to Xst completion: 7.63 secs
1504
 
1505
-->
1506
=========================================================================
1507
*                          HDL Compilation                              *
1508
=========================================================================
1509
Compiling vhdl file "../../lib/gaisler/arith/mul32.vhd" in Library gaisler.
1510
Entity  compiled.
1511
Entity  (Architecture ) compiled.
1512
 
1513
 
1514
Total REAL time to Xst completion: 8.00 secs
1515
Total CPU time to Xst completion: 7.69 secs
1516
 
1517
-->
1518
=========================================================================
1519
*                          HDL Compilation                              *
1520
=========================================================================
1521
Compiling vhdl file "../../lib/gaisler/arith/div32.vhd" in Library gaisler.
1522
Entity  compiled.
1523
Entity  (Architecture ) compiled.
1524
 
1525
 
1526
Total REAL time to Xst completion: 8.00 secs
1527
Total CPU time to Xst completion: 7.72 secs
1528
 
1529
-->
1530
=========================================================================
1531
*                          HDL Compilation                              *
1532
=========================================================================
1533
Compiling vhdl file "../../lib/gaisler/memctrl/memctrl.vhd" in Library gaisler.
1534
Package  compiled.
1535
 
1536
 
1537
Total REAL time to Xst completion: 8.00 secs
1538
Total CPU time to Xst completion: 7.82 secs
1539
 
1540
-->
1541
=========================================================================
1542
*                          HDL Compilation                              *
1543
=========================================================================
1544
Compiling vhdl file "../../lib/gaisler/memctrl/sdctrl.vhd" in Library gaisler.
1545
Entity  compiled.
1546
Entity  (Architecture ) compiled.
1547
 
1548
 
1549
Total REAL time to Xst completion: 8.00 secs
1550
Total CPU time to Xst completion: 7.99 secs
1551
 
1552
-->
1553
=========================================================================
1554
*                          HDL Compilation                              *
1555
=========================================================================
1556
Compiling vhdl file "../../lib/gaisler/memctrl/sdmctrl.vhd" in Library gaisler.
1557
Entity  compiled.
1558
Entity  (Architecture ) compiled.
1559
 
1560
 
1561
Total REAL time to Xst completion: 8.00 secs
1562
Total CPU time to Xst completion: 8.14 secs
1563
 
1564
-->
1565
=========================================================================
1566
*                          HDL Compilation                              *
1567
=========================================================================
1568
Compiling vhdl file "../../lib/gaisler/memctrl/srctrl.vhd" in Library gaisler.
1569
Entity  compiled.
1570
Entity  (Architecture ) compiled.
1571
 
1572
 
1573
Total REAL time to Xst completion: 8.00 secs
1574
Total CPU time to Xst completion: 8.27 secs
1575
 
1576
-->
1577
=========================================================================
1578
*                          HDL Compilation                              *
1579
=========================================================================
1580
Compiling vhdl file "../../lib/gaisler/memctrl/spimctrl.vhd" in Library gaisler.
1581
Entity  compiled.
1582
Entity  (Architecture ) compiled.
1583
 
1584
 
1585
Total REAL time to Xst completion: 8.00 secs
1586
Total CPU time to Xst completion: 8.42 secs
1587
 
1588
-->
1589
=========================================================================
1590
*                          HDL Compilation                              *
1591
=========================================================================
1592
Compiling vhdl file "../../lib/gaisler/leon3/leon3.vhd" in Library gaisler.
1593
Package  compiled.
1594
 
1595
 
1596
Total REAL time to Xst completion: 8.00 secs
1597
Total CPU time to Xst completion: 8.52 secs
1598
 
1599
-->
1600
=========================================================================
1601
*                          HDL Compilation                              *
1602
=========================================================================
1603
Compiling vhdl file "../../lib/gaisler/leon3/mmuconfig.vhd" in Library gaisler.
1604
Package  compiled.
1605
 
1606
 
1607
Total REAL time to Xst completion: 9.00 secs
1608
Total CPU time to Xst completion: 8.61 secs
1609
 
1610
-->
1611
=========================================================================
1612
*                          HDL Compilation                              *
1613
=========================================================================
1614
Compiling vhdl file "../../lib/gaisler/leon3/mmuiface.vhd" in Library gaisler.
1615
Package  compiled.
1616
 
1617
 
1618
Total REAL time to Xst completion: 9.00 secs
1619
Total CPU time to Xst completion: 8.69 secs
1620
 
1621
-->
1622
=========================================================================
1623
*                          HDL Compilation                              *
1624
=========================================================================
1625
Compiling vhdl file "../../lib/gaisler/leon3/libmmu.vhd" in Library gaisler.
1626
Package  compiled.
1627
Package body  compiled.
1628
 
1629
 
1630
Total REAL time to Xst completion: 9.00 secs
1631
Total CPU time to Xst completion: 8.78 secs
1632
 
1633
-->
1634
=========================================================================
1635
*                          HDL Compilation                              *
1636
=========================================================================
1637
Compiling vhdl file "../../lib/gaisler/leon3/libiu.vhd" in Library gaisler.
1638
Package  compiled.
1639
 
1640
 
1641
Total REAL time to Xst completion: 9.00 secs
1642
Total CPU time to Xst completion: 8.88 secs
1643
 
1644
-->
1645
=========================================================================
1646
*                          HDL Compilation                              *
1647
=========================================================================
1648
Compiling vhdl file "../../lib/gaisler/leon3/libcache.vhd" in Library gaisler.
1649
Package  compiled.
1650
Package body  compiled.
1651
 
1652
 
1653
Total REAL time to Xst completion: 9.00 secs
1654
Total CPU time to Xst completion: 9.03 secs
1655
 
1656
-->
1657
=========================================================================
1658
*                          HDL Compilation                              *
1659
=========================================================================
1660
Compiling vhdl file "../../lib/gaisler/leon3/libproc3.vhd" in Library gaisler.
1661
Package  compiled.
1662
 
1663
 
1664
Total REAL time to Xst completion: 9.00 secs
1665
Total CPU time to Xst completion: 9.14 secs
1666
 
1667
-->
1668
=========================================================================
1669
*                          HDL Compilation                              *
1670
=========================================================================
1671
Compiling vhdl file "../../lib/gaisler/leon3/cachemem.vhd" in Library gaisler.
1672
Entity  compiled.
1673
Entity  (Architecture ) compiled.
1674
 
1675
 
1676
Total REAL time to Xst completion: 9.00 secs
1677
Total CPU time to Xst completion: 9.30 secs
1678
 
1679
-->
1680
=========================================================================
1681
*                          HDL Compilation                              *
1682
=========================================================================
1683
Compiling vhdl file "../../lib/gaisler/leon3/mmu_icache.vhd" in Library gaisler.
1684
Entity  compiled.
1685
Entity  (Architecture ) compiled.
1686
 
1687
 
1688
Total REAL time to Xst completion: 9.00 secs
1689
Total CPU time to Xst completion: 9.50 secs
1690
 
1691
-->
1692
=========================================================================
1693
*                          HDL Compilation                              *
1694
=========================================================================
1695
Compiling vhdl file "../../lib/gaisler/leon3/mmu_dcache.vhd" in Library gaisler.
1696
Entity  compiled.
1697
Entity  (Architecture ) compiled.
1698
 
1699
 
1700
Total REAL time to Xst completion: 10.00 secs
1701
Total CPU time to Xst completion: 9.94 secs
1702
 
1703
-->
1704
=========================================================================
1705
*                          HDL Compilation                              *
1706
=========================================================================
1707
Compiling vhdl file "../../lib/gaisler/leon3/mmu_acache.vhd" in Library gaisler.
1708
Entity  compiled.
1709
Entity  (Architecture ) compiled.
1710
 
1711
 
1712
Total REAL time to Xst completion: 10.00 secs
1713
Total CPU time to Xst completion: 10.11 secs
1714
 
1715
-->
1716
=========================================================================
1717
*                          HDL Compilation                              *
1718
=========================================================================
1719
Compiling vhdl file "../../lib/gaisler/leon3/mmutlbcam.vhd" in Library gaisler.
1720
Entity  compiled.
1721
Entity  (Architecture ) compiled.
1722
 
1723
 
1724
Total REAL time to Xst completion: 10.00 secs
1725
Total CPU time to Xst completion: 10.23 secs
1726
 
1727
-->
1728
=========================================================================
1729
*                          HDL Compilation                              *
1730
=========================================================================
1731
Compiling vhdl file "../../lib/gaisler/leon3/mmulrue.vhd" in Library gaisler.
1732
Entity  compiled.
1733
Entity  (Architecture ) compiled.
1734
 
1735
 
1736
Total REAL time to Xst completion: 10.00 secs
1737
Total CPU time to Xst completion: 10.34 secs
1738
 
1739
-->
1740
=========================================================================
1741
*                          HDL Compilation                              *
1742
=========================================================================
1743
Compiling vhdl file "../../lib/gaisler/leon3/mmulru.vhd" in Library gaisler.
1744
Entity  compiled.
1745
Entity  (Architecture ) compiled.
1746
 
1747
 
1748
Total REAL time to Xst completion: 10.00 secs
1749
Total CPU time to Xst completion: 10.47 secs
1750
 
1751
-->
1752
=========================================================================
1753
*                          HDL Compilation                              *
1754
=========================================================================
1755
Compiling vhdl file "../../lib/gaisler/leon3/mmutlb.vhd" in Library gaisler.
1756
Entity  compiled.
1757
Entity  (Architecture ) compiled.
1758
 
1759
 
1760
Total REAL time to Xst completion: 11.00 secs
1761
Total CPU time to Xst completion: 10.65 secs
1762
 
1763
-->
1764
=========================================================================
1765
*                          HDL Compilation                              *
1766
=========================================================================
1767
Compiling vhdl file "../../lib/gaisler/leon3/mmutw.vhd" in Library gaisler.
1768
Entity  compiled.
1769
Entity  (Architecture ) compiled.
1770
 
1771
 
1772
Total REAL time to Xst completion: 11.00 secs
1773
Total CPU time to Xst completion: 10.78 secs
1774
 
1775
-->
1776
=========================================================================
1777
*                          HDL Compilation                              *
1778
=========================================================================
1779
Compiling vhdl file "../../lib/gaisler/leon3/mmu.vhd" in Library gaisler.
1780
Entity  compiled.
1781
Entity  (Architecture ) compiled.
1782
 
1783
 
1784
Total REAL time to Xst completion: 11.00 secs
1785
Total CPU time to Xst completion: 10.89 secs
1786
 
1787
-->
1788
=========================================================================
1789
*                          HDL Compilation                              *
1790
=========================================================================
1791
Compiling vhdl file "../../lib/gaisler/leon3/mmu_cache.vhd" in Library gaisler.
1792
Entity  compiled.
1793
Entity  (Architecture ) compiled.
1794
 
1795
 
1796
Total REAL time to Xst completion: 11.00 secs
1797
Total CPU time to Xst completion: 11.00 secs
1798
 
1799
-->
1800
=========================================================================
1801
*                          HDL Compilation                              *
1802
=========================================================================
1803
Compiling vhdl file "../../lib/gaisler/leon3/acache.vhd" in Library gaisler.
1804
Entity  compiled.
1805
Entity  (Architecture ) compiled.
1806
 
1807
 
1808
Total REAL time to Xst completion: 11.00 secs
1809
Total CPU time to Xst completion: 11.15 secs
1810
 
1811
-->
1812
=========================================================================
1813
*                          HDL Compilation                              *
1814
=========================================================================
1815
Compiling vhdl file "../../lib/gaisler/leon3/dcache.vhd" in Library gaisler.
1816
Entity  compiled.
1817
Entity  (Architecture ) compiled.
1818
 
1819
 
1820
Total REAL time to Xst completion: 11.00 secs
1821
Total CPU time to Xst completion: 11.44 secs
1822
 
1823
-->
1824
=========================================================================
1825
*                          HDL Compilation                              *
1826
=========================================================================
1827
Compiling vhdl file "../../lib/gaisler/leon3/icache.vhd" in Library gaisler.
1828
Entity  compiled.
1829
Entity  (Architecture ) compiled.
1830
 
1831
 
1832
Total REAL time to Xst completion: 12.00 secs
1833
Total CPU time to Xst completion: 11.62 secs
1834
 
1835
-->
1836
=========================================================================
1837
*                          HDL Compilation                              *
1838
=========================================================================
1839
Compiling vhdl file "../../lib/gaisler/leon3/cache.vhd" in Library gaisler.
1840
Entity  compiled.
1841
Entity  (Architecture ) compiled.
1842
 
1843
 
1844
Total REAL time to Xst completion: 12.00 secs
1845
Total CPU time to Xst completion: 11.73 secs
1846
 
1847
-->
1848
=========================================================================
1849
*                          HDL Compilation                              *
1850
=========================================================================
1851
Compiling vhdl file "../../lib/gaisler/leon3/cpu_disasx.vhd" in Library gaisler.
1852
Entity  compiled.
1853
Entity  (Architecture ) compiled.
1854
 
1855
 
1856
Total REAL time to Xst completion: 12.00 secs
1857
Total CPU time to Xst completion: 11.81 secs
1858
 
1859
-->
1860
=========================================================================
1861
*                          HDL Compilation                              *
1862
=========================================================================
1863
Compiling vhdl file "../../lib/gaisler/leon3/grfpwx.vhd" in Library gaisler.
1864
Entity  compiled.
1865
Entity  (Architecture ) compiled.
1866
 
1867
 
1868
Total REAL time to Xst completion: 12.00 secs
1869
Total CPU time to Xst completion: 11.92 secs
1870
 
1871
-->
1872
=========================================================================
1873
*                          HDL Compilation                              *
1874
=========================================================================
1875
Compiling vhdl file "../../lib/gaisler/leon3/mfpwx.vhd" in Library gaisler.
1876
Entity  compiled.
1877
Entity  (Architecture ) compiled.
1878
 
1879
 
1880
Total REAL time to Xst completion: 12.00 secs
1881
Total CPU time to Xst completion: 12.01 secs
1882
 
1883
-->
1884
=========================================================================
1885
*                          HDL Compilation                              *
1886
=========================================================================
1887
Compiling vhdl file "../../lib/gaisler/leon3/grlfpwx.vhd" in Library gaisler.
1888
Entity  compiled.
1889
Entity  (Architecture ) compiled.
1890
 
1891
 
1892
Total REAL time to Xst completion: 12.00 secs
1893
Total CPU time to Xst completion: 12.12 secs
1894
 
1895
-->
1896
=========================================================================
1897
*                          HDL Compilation                              *
1898
=========================================================================
1899
Compiling vhdl file "../../lib/gaisler/leon3/tbufmem.vhd" in Library gaisler.
1900
Entity  compiled.
1901
Entity  (Architecture ) compiled.
1902
 
1903
 
1904
Total REAL time to Xst completion: 12.00 secs
1905
Total CPU time to Xst completion: 12.22 secs
1906
 
1907
-->
1908
=========================================================================
1909
*                          HDL Compilation                              *
1910
=========================================================================
1911
Compiling vhdl file "../../lib/gaisler/leon3/dsu3x.vhd" in Library gaisler.
1912
Entity  compiled.
1913
Entity  (Architecture ) compiled.
1914
 
1915
 
1916
Total REAL time to Xst completion: 12.00 secs
1917
Total CPU time to Xst completion: 12.42 secs
1918
 
1919
-->
1920
=========================================================================
1921
*                          HDL Compilation                              *
1922
=========================================================================
1923
Compiling vhdl file "../../lib/gaisler/leon3/dsu3.vhd" in Library gaisler.
1924
Entity  compiled.
1925
Entity  (Architecture ) compiled.
1926
 
1927
 
1928
Total REAL time to Xst completion: 12.00 secs
1929
Total CPU time to Xst completion: 12.53 secs
1930
 
1931
-->
1932
=========================================================================
1933
*                          HDL Compilation                              *
1934
=========================================================================
1935
Compiling vhdl file "../../lib/gaisler/leon3/proc3.vhd" in Library gaisler.
1936
Entity  compiled.
1937
Entity  (Architecture ) compiled.
1938
 
1939
 
1940
Total REAL time to Xst completion: 13.00 secs
1941
Total CPU time to Xst completion: 12.65 secs
1942
 
1943
-->
1944
=========================================================================
1945
*                          HDL Compilation                              *
1946
=========================================================================
1947
Compiling vhdl file "../../lib/gaisler/leon3/leon3s.vhd" in Library gaisler.
1948
Entity  compiled.
1949
Entity  (Architecture ) compiled.
1950
 
1951
 
1952
Total REAL time to Xst completion: 13.00 secs
1953
Total CPU time to Xst completion: 12.76 secs
1954
 
1955
-->
1956
=========================================================================
1957
*                          HDL Compilation                              *
1958
=========================================================================
1959
Compiling vhdl file "../../lib/gaisler/leon3/leon3cg.vhd" in Library gaisler.
1960
Entity  compiled.
1961
Entity  (Architecture ) compiled.
1962
 
1963
 
1964
Total REAL time to Xst completion: 13.00 secs
1965
Total CPU time to Xst completion: 12.89 secs
1966
 
1967
-->
1968
=========================================================================
1969
*                          HDL Compilation                              *
1970
=========================================================================
1971
Compiling vhdl file "../../lib/gaisler/leon3/irqmp.vhd" in Library gaisler.
1972
Entity  compiled.
1973
Entity  (Architecture ) compiled.
1974
 
1975
 
1976
Total REAL time to Xst completion: 13.00 secs
1977
Total CPU time to Xst completion: 13.04 secs
1978
 
1979
-->
1980
=========================================================================
1981
*                          HDL Compilation                              *
1982
=========================================================================
1983
Compiling vhdl file "../../lib/gaisler/leon3/grfpwxsh.vhd" in Library gaisler.
1984
Entity  compiled.
1985
Entity  (Architecture ) compiled.
1986
 
1987
 
1988
Total REAL time to Xst completion: 13.00 secs
1989
Total CPU time to Xst completion: 13.15 secs
1990
 
1991
-->
1992
=========================================================================
1993
*                          HDL Compilation                              *
1994
=========================================================================
1995
Compiling vhdl file "../../lib/gaisler/leon3/grfpushwx.vhd" in Library gaisler.
1996
Entity  compiled.
1997
Entity  (Architecture ) compiled.
1998
 
1999
 
2000
Total REAL time to Xst completion: 13.00 secs
2001
Total CPU time to Xst completion: 13.29 secs
2002
 
2003
-->
2004
=========================================================================
2005
*                          HDL Compilation                              *
2006
=========================================================================
2007
Compiling vhdl file "../../lib/gaisler/leon3/leon3sh.vhd" in Library gaisler.
2008
Entity  compiled.
2009
Entity  (Architecture ) compiled.
2010
 
2011
 
2012
Total REAL time to Xst completion: 13.00 secs
2013
Total CPU time to Xst completion: 13.42 secs
2014
 
2015
-->
2016
=========================================================================
2017
*                          HDL Compilation                              *
2018
=========================================================================
2019
Compiling vhdl file "../../lib/gaisler/leon3/my_mux.vhd" in Library gaisler.
2020
Entity  compiled.
2021
Entity  (Architecture ) compiled.
2022
 
2023
 
2024
Total REAL time to Xst completion: 13.00 secs
2025
Total CPU time to Xst completion: 13.51 secs
2026
 
2027
-->
2028
=========================================================================
2029
*                          HDL Compilation                              *
2030
=========================================================================
2031
Compiling vhdl file "../../lib/gaisler/leon3/top.vhd" in Library gaisler.
2032
Entity  compiled.
2033
Entity  (Architecture ) compiled.
2034
 
2035
 
2036
Total REAL time to Xst completion: 14.00 secs
2037
Total CPU time to Xst completion: 13.62 secs
2038
 
2039
-->
2040
=========================================================================
2041
*                          HDL Compilation                              *
2042
=========================================================================
2043
Compiling vhdl file "../../lib/gaisler/can/can.vhd" in Library gaisler.
2044
Package  compiled.
2045
 
2046
 
2047
Total REAL time to Xst completion: 14.00 secs
2048
Total CPU time to Xst completion: 13.73 secs
2049
 
2050
-->
2051
=========================================================================
2052
*                          HDL Compilation                              *
2053
=========================================================================
2054
Compiling vhdl file "../../lib/gaisler/can/can_mod.vhd" in Library gaisler.
2055
Entity  compiled.
2056
Entity  (Architecture ) compiled.
2057
 
2058
 
2059
Total REAL time to Xst completion: 14.00 secs
2060
Total CPU time to Xst completion: 13.84 secs
2061
 
2062
-->
2063
=========================================================================
2064
*                          HDL Compilation                              *
2065
=========================================================================
2066
Compiling vhdl file "../../lib/gaisler/can/can_oc.vhd" in Library gaisler.
2067
Entity  compiled.
2068
Entity  (Architecture ) compiled.
2069
 
2070
 
2071
Total REAL time to Xst completion: 14.00 secs
2072
Total CPU time to Xst completion: 13.98 secs
2073
 
2074
-->
2075
=========================================================================
2076
*                          HDL Compilation                              *
2077
=========================================================================
2078
Compiling vhdl file "../../lib/gaisler/can/can_mc.vhd" in Library gaisler.
2079
Entity  compiled.
2080
Entity  (Architecture ) compiled.
2081
 
2082
 
2083
Total REAL time to Xst completion: 14.00 secs
2084
Total CPU time to Xst completion: 14.10 secs
2085
 
2086
-->
2087
=========================================================================
2088
*                          HDL Compilation                              *
2089
=========================================================================
2090
Compiling vhdl file "../../lib/gaisler/can/canmux.vhd" in Library gaisler.
2091
Entity  compiled.
2092
Entity  (Architecture ) compiled.
2093
 
2094
 
2095
Total REAL time to Xst completion: 14.00 secs
2096
Total CPU time to Xst completion: 14.20 secs
2097
 
2098
-->
2099
=========================================================================
2100
*                          HDL Compilation                              *
2101
=========================================================================
2102
Compiling vhdl file "../../lib/gaisler/can/can_rd.vhd" in Library gaisler.
2103
Entity  compiled.
2104
Entity  (Architecture ) compiled.
2105
 
2106
 
2107
Total REAL time to Xst completion: 14.00 secs
2108
Total CPU time to Xst completion: 14.32 secs
2109
 
2110
-->
2111
=========================================================================
2112
*                          HDL Compilation                              *
2113
=========================================================================
2114
Compiling vhdl file "../../lib/gaisler/misc/misc.vhd" in Library gaisler.
2115
Package  compiled.
2116
Package body  compiled.
2117
 
2118
 
2119
Total REAL time to Xst completion: 14.00 secs
2120
Total CPU time to Xst completion: 14.48 secs
2121
 
2122
-->
2123
=========================================================================
2124
*                          HDL Compilation                              *
2125
=========================================================================
2126
Compiling vhdl file "../../lib/gaisler/misc/rstgen.vhd" in Library gaisler.
2127
Entity  compiled.
2128
Entity  (Architecture ) compiled.
2129
 
2130
 
2131
Total REAL time to Xst completion: 15.00 secs
2132
Total CPU time to Xst completion: 14.59 secs
2133
 
2134
-->
2135
=========================================================================
2136
*                          HDL Compilation                              *
2137
=========================================================================
2138
Compiling vhdl file "../../lib/gaisler/misc/gptimer.vhd" in Library gaisler.
2139
Entity  compiled.
2140
Entity  (Architecture ) compiled.
2141
 
2142
 
2143
Total REAL time to Xst completion: 15.00 secs
2144
Total CPU time to Xst completion: 14.73 secs
2145
 
2146
-->
2147
=========================================================================
2148
*                          HDL Compilation                              *
2149
=========================================================================
2150
Compiling vhdl file "../../lib/gaisler/misc/ahbram.vhd" in Library gaisler.
2151
Entity  compiled.
2152
Entity  (Architecture ) compiled.
2153
 
2154
 
2155
Total REAL time to Xst completion: 15.00 secs
2156
Total CPU time to Xst completion: 14.85 secs
2157
 
2158
-->
2159
=========================================================================
2160
*                          HDL Compilation                              *
2161
=========================================================================
2162
Compiling vhdl file "../../lib/gaisler/misc/ahbtrace.vhd" in Library gaisler.
2163
Entity  compiled.
2164
Entity  (Architecture ) compiled.
2165
 
2166
 
2167
Total REAL time to Xst completion: 15.00 secs
2168
Total CPU time to Xst completion: 14.99 secs
2169
 
2170
-->
2171
=========================================================================
2172
*                          HDL Compilation                              *
2173
=========================================================================
2174
Compiling vhdl file "../../lib/gaisler/misc/ahbmst.vhd" in Library gaisler.
2175
Entity  compiled.
2176
Entity  (Architecture ) compiled.
2177
 
2178
 
2179
Total REAL time to Xst completion: 15.00 secs
2180
Total CPU time to Xst completion: 15.12 secs
2181
 
2182
-->
2183
=========================================================================
2184
*                          HDL Compilation                              *
2185
=========================================================================
2186
Compiling vhdl file "../../lib/gaisler/misc/grgpio.vhd" in Library gaisler.
2187
Entity  compiled.
2188
Entity  (Architecture ) compiled.
2189
 
2190
 
2191
Total REAL time to Xst completion: 15.00 secs
2192
Total CPU time to Xst completion: 15.26 secs
2193
 
2194
-->
2195
=========================================================================
2196
*                          HDL Compilation                              *
2197
=========================================================================
2198
Compiling vhdl file "../../lib/gaisler/misc/ahbstat.vhd" in Library gaisler.
2199
Entity  compiled.
2200
Entity  (Architecture ) compiled.
2201
 
2202
 
2203
Total REAL time to Xst completion: 15.00 secs
2204
Total CPU time to Xst completion: 15.38 secs
2205
 
2206
-->
2207
=========================================================================
2208
*                          HDL Compilation                              *
2209
=========================================================================
2210
Compiling vhdl file "../../lib/gaisler/misc/logan.vhd" in Library gaisler.
2211
Entity  compiled.
2212
Entity  (Architecture ) compiled.
2213
 
2214
 
2215
Total REAL time to Xst completion: 15.00 secs
2216
Total CPU time to Xst completion: 15.54 secs
2217
 
2218
-->
2219
=========================================================================
2220
*                          HDL Compilation                              *
2221
=========================================================================
2222
Compiling vhdl file "../../lib/gaisler/misc/apbps2.vhd" in Library gaisler.
2223
Entity  compiled.
2224
Entity  (Architecture ) compiled.
2225
 
2226
 
2227
Total REAL time to Xst completion: 16.00 secs
2228
Total CPU time to Xst completion: 15.68 secs
2229
 
2230
-->
2231
=========================================================================
2232
*                          HDL Compilation                              *
2233
=========================================================================
2234
Compiling vhdl file "../../lib/gaisler/misc/charrom_package.vhd" in Library gaisler.
2235
Package  compiled.
2236
 
2237
 
2238
Total REAL time to Xst completion: 16.00 secs
2239
Total CPU time to Xst completion: 15.79 secs
2240
 
2241
-->
2242
=========================================================================
2243
*                          HDL Compilation                              *
2244
=========================================================================
2245
Compiling vhdl file "../../lib/gaisler/misc/charrom.vhd" in Library gaisler.
2246
Entity  compiled.
2247
Entity  (Architecture ) compiled.
2248
 
2249
 
2250
Total REAL time to Xst completion: 16.00 secs
2251
Total CPU time to Xst completion: 16.01 secs
2252
 
2253
-->
2254
=========================================================================
2255
*                          HDL Compilation                              *
2256
=========================================================================
2257
Compiling vhdl file "../../lib/gaisler/misc/apbvga.vhd" in Library gaisler.
2258
Entity  compiled.
2259
Entity  (Architecture ) compiled.
2260
 
2261
 
2262
Total REAL time to Xst completion: 16.00 secs
2263
Total CPU time to Xst completion: 16.15 secs
2264
 
2265
-->
2266
=========================================================================
2267
*                          HDL Compilation                              *
2268
=========================================================================
2269
Compiling vhdl file "../../lib/gaisler/misc/ahbdma.vhd" in Library gaisler.
2270
Entity  compiled.
2271
Entity  (Architecture ) compiled.
2272
 
2273
 
2274
Total REAL time to Xst completion: 16.00 secs
2275
Total CPU time to Xst completion: 16.29 secs
2276
 
2277
-->
2278
=========================================================================
2279
*                          HDL Compilation                              *
2280
=========================================================================
2281
Compiling vhdl file "../../lib/gaisler/misc/svgactrl.vhd" in Library gaisler.
2282
Entity  compiled.
2283
Entity  (Architecture ) compiled.
2284
 
2285
 
2286
Total REAL time to Xst completion: 16.00 secs
2287
Total CPU time to Xst completion: 16.46 secs
2288
 
2289
-->
2290
=========================================================================
2291
*                          HDL Compilation                              *
2292
=========================================================================
2293
Compiling vhdl file "../../lib/gaisler/misc/i2cmst.vhd" in Library gaisler.
2294
Entity  compiled.
2295
Entity  (Architecture ) compiled.
2296
 
2297
 
2298
Total REAL time to Xst completion: 17.00 secs
2299
Total CPU time to Xst completion: 16.60 secs
2300
 
2301
-->
2302
=========================================================================
2303
*                          HDL Compilation                              *
2304
=========================================================================
2305
Compiling vhdl file "../../lib/gaisler/misc/spictrl.vhd" in Library gaisler.
2306
Entity  compiled.
2307
Entity  (Architecture ) compiled.
2308
 
2309
 
2310
Total REAL time to Xst completion: 17.00 secs
2311
Total CPU time to Xst completion: 16.82 secs
2312
 
2313
-->
2314
=========================================================================
2315
*                          HDL Compilation                              *
2316
=========================================================================
2317
Compiling vhdl file "../../lib/gaisler/misc/i2cslv.vhd" in Library gaisler.
2318
Entity  compiled.
2319
Entity  (Architecture ) compiled.
2320
 
2321
 
2322
Total REAL time to Xst completion: 17.00 secs
2323
Total CPU time to Xst completion: 16.97 secs
2324
 
2325
-->
2326
=========================================================================
2327
*                          HDL Compilation                              *
2328
=========================================================================
2329
Compiling vhdl file "../../lib/gaisler/misc/wild.vhd" in Library gaisler.
2330
Package  compiled.
2331
 
2332
 
2333
Total REAL time to Xst completion: 17.00 secs
2334
Total CPU time to Xst completion: 17.08 secs
2335
 
2336
-->
2337
=========================================================================
2338
*                          HDL Compilation                              *
2339
=========================================================================
2340
Compiling vhdl file "../../lib/gaisler/misc/wild2ahb.vhd" in Library gaisler.
2341
Entity  compiled.
2342
Entity  (Architecture ) compiled.
2343
 
2344
 
2345
Total REAL time to Xst completion: 17.00 secs
2346
Total CPU time to Xst completion: 17.22 secs
2347
 
2348
-->
2349
=========================================================================
2350
*                          HDL Compilation                              *
2351
=========================================================================
2352
Compiling vhdl file "../../lib/gaisler/net/net.vhd" in Library gaisler.
2353
Package  compiled.
2354
 
2355
 
2356
Total REAL time to Xst completion: 17.00 secs
2357
Total CPU time to Xst completion: 17.35 secs
2358
 
2359
-->
2360
=========================================================================
2361
*                          HDL Compilation                              *
2362
=========================================================================
2363
Compiling vhdl file "../../lib/gaisler/uart/uart.vhd" in Library gaisler.
2364
Package  compiled.
2365
 
2366
 
2367
Total REAL time to Xst completion: 17.00 secs
2368
Total CPU time to Xst completion: 17.47 secs
2369
 
2370
-->
2371
=========================================================================
2372
*                          HDL Compilation                              *
2373
=========================================================================
2374
Compiling vhdl file "../../lib/gaisler/uart/libdcom.vhd" in Library gaisler.
2375
Package  compiled.
2376
 
2377
 
2378
Total REAL time to Xst completion: 18.00 secs
2379
Total CPU time to Xst completion: 17.60 secs
2380
 
2381
-->
2382
=========================================================================
2383
*                          HDL Compilation                              *
2384
=========================================================================
2385
Compiling vhdl file "../../lib/gaisler/uart/apbuart.vhd" in Library gaisler.
2386
Entity  compiled.
2387
Entity  (Architecture ) compiled.
2388
 
2389
 
2390
Total REAL time to Xst completion: 18.00 secs
2391
Total CPU time to Xst completion: 17.75 secs
2392
 
2393
-->
2394
=========================================================================
2395
*                          HDL Compilation                              *
2396
=========================================================================
2397
Compiling vhdl file "../../lib/gaisler/uart/dcom.vhd" in Library gaisler.
2398
Entity  compiled.
2399
Entity  (Architecture ) compiled.
2400
 
2401
 
2402
Total REAL time to Xst completion: 18.00 secs
2403
Total CPU time to Xst completion: 17.88 secs
2404
 
2405
-->
2406
=========================================================================
2407
*                          HDL Compilation                              *
2408
=========================================================================
2409
Compiling vhdl file "../../lib/gaisler/uart/dcom_uart.vhd" in Library gaisler.
2410
Entity  compiled.
2411
Entity  (Architecture ) compiled.
2412
 
2413
 
2414
Total REAL time to Xst completion: 18.00 secs
2415
Total CPU time to Xst completion: 18.03 secs
2416
 
2417
-->
2418
=========================================================================
2419
*                          HDL Compilation                              *
2420
=========================================================================
2421
Compiling vhdl file "../../lib/gaisler/uart/ahbuart.vhd" in Library gaisler.
2422
Entity  compiled.
2423
Entity  (Architecture ) compiled.
2424
 
2425
 
2426
Total REAL time to Xst completion: 18.00 secs
2427
Total CPU time to Xst completion: 18.17 secs
2428
 
2429
-->
2430
=========================================================================
2431
*                          HDL Compilation                              *
2432
=========================================================================
2433
Compiling vhdl file "../../lib/gaisler/jtag/jtag.vhd" in Library gaisler.
2434
Package  compiled.
2435
 
2436
 
2437
Total REAL time to Xst completion: 18.00 secs
2438
Total CPU time to Xst completion: 18.30 secs
2439
 
2440
-->
2441
=========================================================================
2442
*                          HDL Compilation                              *
2443
=========================================================================
2444
Compiling vhdl file "../../lib/gaisler/jtag/libjtagcom.vhd" in Library gaisler.
2445
Package  compiled.
2446
 
2447
 
2448
Total REAL time to Xst completion: 18.00 secs
2449
Total CPU time to Xst completion: 18.42 secs
2450
 
2451
-->
2452
=========================================================================
2453
*                          HDL Compilation                              *
2454
=========================================================================
2455
Compiling vhdl file "../../lib/gaisler/jtag/jtagcom.vhd" in Library gaisler.
2456
Entity  compiled.
2457
Entity  (Architecture ) compiled.
2458
 
2459
 
2460
Total REAL time to Xst completion: 19.00 secs
2461
Total CPU time to Xst completion: 18.58 secs
2462
 
2463
-->
2464
=========================================================================
2465
*                          HDL Compilation                              *
2466
=========================================================================
2467
Compiling vhdl file "../../lib/gaisler/jtag/ahbjtag.vhd" in Library gaisler.
2468
Entity  compiled.
2469
Entity  (Architecture ) compiled.
2470
 
2471
 
2472
Total REAL time to Xst completion: 19.00 secs
2473
Total CPU time to Xst completion: 18.72 secs
2474
 
2475
-->
2476
=========================================================================
2477
*                          HDL Compilation                              *
2478
=========================================================================
2479
Compiling vhdl file "../../lib/gaisler/jtag/ahbjtag_bsd.vhd" in Library gaisler.
2480
Entity  compiled.
2481
Entity  (Architecture ) compiled.
2482
 
2483
 
2484
Total REAL time to Xst completion: 19.00 secs
2485
Total CPU time to Xst completion: 18.86 secs
2486
 
2487
-->
2488
=========================================================================
2489
*                          HDL Compilation                              *
2490
=========================================================================
2491
Compiling vhdl file "../../lib/gaisler/greth/ethernet_mac.vhd" in Library gaisler.
2492
Package  compiled.
2493
 
2494
 
2495
Total REAL time to Xst completion: 19.00 secs
2496
Total CPU time to Xst completion: 19.00 secs
2497
 
2498
-->
2499
=========================================================================
2500
*                          HDL Compilation                              *
2501
=========================================================================
2502
Compiling vhdl file "../../lib/gaisler/greth/greth.vhd" in Library gaisler.
2503
Entity  compiled.
2504
Entity  (Architecture ) compiled.
2505
 
2506
 
2507
Total REAL time to Xst completion: 19.00 secs
2508
Total CPU time to Xst completion: 19.16 secs
2509
 
2510
-->
2511
=========================================================================
2512
*                          HDL Compilation                              *
2513
=========================================================================
2514
Compiling vhdl file "../../lib/gaisler/greth/greth_gbit.vhd" in Library gaisler.
2515
Entity  compiled.
2516
Entity  (Architecture ) compiled.
2517
 
2518
 
2519
Total REAL time to Xst completion: 19.00 secs
2520
Total CPU time to Xst completion: 19.31 secs
2521
 
2522
-->
2523
=========================================================================
2524
*                          HDL Compilation                              *
2525
=========================================================================
2526
Compiling vhdl file "../../lib/gaisler/greth/grethm.vhd" in Library gaisler.
2527
Entity  compiled.
2528
Entity  (Architecture ) compiled.
2529
 
2530
 
2531
Total REAL time to Xst completion: 19.00 secs
2532
Total CPU time to Xst completion: 19.47 secs
2533
 
2534
-->
2535
=========================================================================
2536
*                          HDL Compilation                              *
2537
=========================================================================
2538
Compiling vhdl file "../../lib/gaisler/spacewire/spacewire.vhd" in Library gaisler.
2539
Package  compiled.
2540
 
2541
 
2542
Total REAL time to Xst completion: 20.00 secs
2543
Total CPU time to Xst completion: 19.63 secs
2544
 
2545
-->
2546
=========================================================================
2547
*                          HDL Compilation                              *
2548
=========================================================================
2549
Compiling vhdl file "../../lib/gaisler/spacewire/grspw.vhd" in Library gaisler.
2550
Entity  compiled.
2551
Entity  (Architecture ) compiled.
2552
 
2553
 
2554
Total REAL time to Xst completion: 20.00 secs
2555
Total CPU time to Xst completion: 19.78 secs
2556
 
2557
-->
2558
=========================================================================
2559
*                          HDL Compilation                              *
2560
=========================================================================
2561
Compiling vhdl file "../../lib/gaisler/spacewire/grspw2.vhd" in Library gaisler.
2562
Entity  compiled.
2563
Entity  (Architecture ) compiled.
2564
 
2565
 
2566
Total REAL time to Xst completion: 20.00 secs
2567
Total CPU time to Xst completion: 19.95 secs
2568
 
2569
-->
2570
=========================================================================
2571
*                          HDL Compilation                              *
2572
=========================================================================
2573
Compiling vhdl file "../../lib/gaisler/spacewire/grspwm.vhd" in Library gaisler.
2574
Entity  compiled.
2575
Entity  (Architecture ) compiled.
2576
 
2577
 
2578
Total REAL time to Xst completion: 20.00 secs
2579
Total CPU time to Xst completion: 20.09 secs
2580
 
2581
-->
2582
=========================================================================
2583
*                          HDL Compilation                              *
2584
=========================================================================
2585
Compiling vhdl file "../../lib/gaisler/usb/grusb.vhd" in Library gaisler.
2586
Package  compiled.
2587
 
2588
 
2589
Total REAL time to Xst completion: 20.00 secs
2590
Total CPU time to Xst completion: 20.25 secs
2591
 
2592
-->
2593
=========================================================================
2594
*                          HDL Compilation                              *
2595
=========================================================================
2596
Compiling vhdl file "../../lib/gaisler/ata/ata.vhd" in Library gaisler.
2597
Package  compiled.
2598
 
2599
 
2600
Total REAL time to Xst completion: 20.00 secs
2601
Total CPU time to Xst completion: 20.39 secs
2602
 
2603
-->
2604
=========================================================================
2605
*                          HDL Compilation                              *
2606
=========================================================================
2607
Compiling vhdl file "../../lib/gaisler/ata/ata_inf.vhd" in Library gaisler.
2608
Package  compiled.
2609
 
2610
 
2611
Total REAL time to Xst completion: 20.00 secs
2612
Total CPU time to Xst completion: 20.53 secs
2613
 
2614
-->
2615
=========================================================================
2616
*                          HDL Compilation                              *
2617
=========================================================================
2618
Compiling vhdl file "../../lib/gaisler/ata/atahost_amba_slave.vhd" in Library gaisler.
2619
Entity  compiled.
2620
Entity  (Architecture ) compiled.
2621
 
2622
 
2623
Total REAL time to Xst completion: 21.00 secs
2624
Total CPU time to Xst completion: 20.73 secs
2625
 
2626
-->
2627
=========================================================================
2628
*                          HDL Compilation                              *
2629
=========================================================================
2630
Compiling vhdl file "../../lib/gaisler/ata/atahost_ahbmst.vhd" in Library gaisler.
2631
Entity  compiled.
2632
Entity  (Architecture ) compiled.
2633
 
2634
 
2635
Total REAL time to Xst completion: 21.00 secs
2636
Total CPU time to Xst completion: 20.89 secs
2637
 
2638
-->
2639
=========================================================================
2640
*                          HDL Compilation                              *
2641
=========================================================================
2642
Compiling vhdl file "../../lib/gaisler/ata/ocidec2_amba_slave.vhd" in Library gaisler.
2643
Entity  compiled.
2644
Entity  (Architecture ) compiled.
2645
 
2646
 
2647
Total REAL time to Xst completion: 21.00 secs
2648
Total CPU time to Xst completion: 21.08 secs
2649
 
2650
-->
2651
=========================================================================
2652
*                          HDL Compilation                              *
2653
=========================================================================
2654
Compiling vhdl file "../../lib/gaisler/ata/atactrl_nodma.vhd" in Library gaisler.
2655
Entity  compiled.
2656
Entity  (Architecture ) compiled.
2657
 
2658
 
2659
Total REAL time to Xst completion: 21.00 secs
2660
Total CPU time to Xst completion: 21.25 secs
2661
 
2662
-->
2663
=========================================================================
2664
*                          HDL Compilation                              *
2665
=========================================================================
2666
Compiling vhdl file "../../lib/gaisler/ata/atactrl_dma.vhd" in Library gaisler.
2667
Entity  compiled.
2668
Entity  (Architecture ) compiled.
2669
 
2670
 
2671
Total REAL time to Xst completion: 21.00 secs
2672
Total CPU time to Xst completion: 21.42 secs
2673
 
2674
-->
2675
=========================================================================
2676
*                          HDL Compilation                              *
2677
=========================================================================
2678
Compiling vhdl file "../../lib/gaisler/ata/atactrl.vhd" in Library gaisler.
2679
Entity  compiled.
2680
Entity  (Architecture ) compiled.
2681
 
2682
 
2683
Total REAL time to Xst completion: 22.00 secs
2684
Total CPU time to Xst completion: 21.59 secs
2685
 
2686
-->
2687
=========================================================================
2688
*                          HDL Compilation                              *
2689
=========================================================================
2690
Compiling verilog file "../../lib/gaisler/vlog/decode_pipe1.v" in library gaisler
2691
Compiling verilog include file "..\..\lib\gaisler\vlog/mips789_defs.v"
2692
Module  compiled
2693
Module  compiled
2694
Module  compiled
2695
 
2696
 
2697
Total REAL time to Xst completion: 22.00 secs
2698
Total CPU time to Xst completion: 21.62 secs
2699
 
2700
-->
2701
=========================================================================
2702
*                          HDL Compilation                              *
2703
=========================================================================
2704
Compiling verilog file "../../lib/gaisler/vlog/EXEC_stage.v" in library gaisler
2705
Compiling verilog include file "..\..\lib\gaisler\vlog/mips789_defs.v"
2706
Module  compiled
2707
Module  compiled
2708
Module  compiled
2709
Module  compiled
2710
Module  compiled
2711
Module  compiled
2712
Module  compiled
2713
Module  compiled
2714
Module  compiled
2715
 
2716
 
2717
Total REAL time to Xst completion: 22.00 secs
2718
Total CPU time to Xst completion: 21.65 secs
2719
 
2720
-->
2721
=========================================================================
2722
*                          HDL Compilation                              *
2723
=========================================================================
2724
Compiling verilog file "../../lib/gaisler/vlog/ulit.v" in library gaisler
2725
Compiling verilog include file "..\..\lib\gaisler\vlog/mips789_defs.v"
2726
Module  compiled
2727
Module  compiled
2728
Module  compiled
2729
Module  compiled
2730
Module  compiled
2731
Module  compiled
2732
Module  compiled
2733
Module  compiled
2734
Module  compiled
2735
Module  compiled
2736
Module  compiled
2737
Module  compiled
2738
Module  compiled
2739
Module  compiled
2740
Module  compiled
2741
Module  compiled
2742
Module  compiled
2743
Module  compiled
2744
Module  compiled
2745
Module  compiled
2746
Module  compiled
2747
Module  compiled
2748
Module  compiled
2749
Module  compiled
2750
Module  compiled
2751
Module  compiled
2752
Module  compiled
2753
Module  compiled
2754
Module  compiled
2755
Module  compiled
2756
Module  compiled
2757
Module  compiled
2758
Module  compiled
2759
Module  compiled
2760
Module  compiled
2761
Module  compiled
2762
Module  compiled
2763
Module  compiled
2764
Module  compiled
2765
Module  compiled
2766
Module  compiled
2767
Module  compiled
2768
Module  compiled
2769
Module  compiled
2770
Module  compiled
2771
Module  compiled
2772
Module  compiled
2773
Module  compiled
2774
Module  compiled
2775
Module  compiled
2776
Module  compiled
2777
Module  compiled
2778
Module  compiled
2779
Module  compiled
2780
Module  compiled
2781
Module  compiled
2782
Module  compiled
2783
Module  compiled
2784
Module  compiled
2785
Module  compiled
2786
Module  compiled
2787
Module  compiled
2788
Module  compiled
2789
Module  compiled
2790
Module  compiled
2791
Module  compiled
2792
Module  compiled
2793
Module  compiled
2794
Module  compiled
2795
Module  compiled
2796
Module  compiled
2797
Module  compiled
2798
Module  compiled
2799
Module  compiled
2800
Module  compiled
2801
Module  compiled
2802
Module  compiled
2803
Module  compiled
2804
Module  compiled
2805
Module  compiled
2806
Module  compiled
2807
Module  compiled
2808
Module  compiled
2809
Module  compiled
2810
Module  compiled
2811
Module  compiled
2812
Module  compiled
2813
Module  compiled
2814
Module  compiled
2815
Module  compiled
2816
Module  compiled
2817
Module  compiled
2818
 
2819
 
2820
Total REAL time to Xst completion: 22.00 secs
2821
Total CPU time to Xst completion: 21.81 secs
2822
 
2823
-->
2824
=========================================================================
2825
*                          HDL Compilation                              *
2826
=========================================================================
2827
Compiling verilog file "../../lib/gaisler/vlog/ctl_fsm1.v" in library gaisler
2828
Compiling verilog include file "..\..\lib\gaisler\vlog/mips789_defs.v"
2829
Module  compiled
2830
 
2831
 
2832
Total REAL time to Xst completion: 22.00 secs
2833
Total CPU time to Xst completion: 21.82 secs
2834
 
2835
-->
2836
=========================================================================
2837
*                          HDL Compilation                              *
2838
=========================================================================
2839
Compiling verilog file "../../lib/gaisler/vlog/RF_stage1.v" in library gaisler
2840
Compiling verilog include file "..\..\lib\gaisler\vlog/mips789_defs.v"
2841
Module  compiled
2842
 
2843
 
2844
Total REAL time to Xst completion: 22.00 secs
2845
Total CPU time to Xst completion: 21.82 secs
2846
 
2847
-->
2848
=========================================================================
2849
*                          HDL Compilation                              *
2850
=========================================================================
2851
Compiling verilog file "../../lib/gaisler/vlog/RF_components1.v" in library gaisler
2852
Compiling verilog include file "..\..\lib\gaisler\vlog/mips789_defs.v"
2853
Module  compiled
2854
Module  compiled
2855
Module  compiled
2856
Module  compiled
2857
 
2858
 
2859
Total REAL time to Xst completion: 22.00 secs
2860
Total CPU time to Xst completion: 21.84 secs
2861
 
2862
-->
2863
=========================================================================
2864
*                          HDL Compilation                              *
2865
=========================================================================
2866
Compiling verilog file "../../lib/gaisler/vlog/forward.v" in library gaisler
2867
Compiling verilog include file "..\..\lib\gaisler\vlog/mips789_defs.v"
2868
Module  compiled
2869
Module  compiled
2870
Module  compiled
2871
Module  compiled
2872
Module  compiled
2873
 
2874
 
2875
Total REAL time to Xst completion: 22.00 secs
2876
Total CPU time to Xst completion: 21.86 secs
2877
 
2878
-->
2879
=========================================================================
2880
*                          HDL Compilation                              *
2881
=========================================================================
2882
Compiling verilog file "../../lib/gaisler/vlog/mips789_defs.v" in library gaisler
2883
 
2884
 
2885
Total REAL time to Xst completion: 22.00 secs
2886
Total CPU time to Xst completion: 21.86 secs
2887
 
2888
-->
2889
=========================================================================
2890
*                          HDL Compilation                              *
2891
=========================================================================
2892
Compiling verilog file "../../lib/gaisler/vlog/hazard_unit.v" in library gaisler
2893
Module  compiled
2894
 
2895
 
2896
Total REAL time to Xst completion: 22.00 secs
2897
Total CPU time to Xst completion: 21.87 secs
2898
 
2899
-->
2900
=========================================================================
2901
*                          HDL Compilation                              *
2902
=========================================================================
2903
Compiling verilog file "../../lib/gaisler/vlog/forward.v" in library gaisler
2904
Compiling verilog include file "..\..\lib\gaisler\vlog/mips789_defs.v"
2905
Module  compiled
2906
Module  compiled
2907
Module  compiled
2908
Module  compiled
2909
Module  compiled
2910
 
2911
 
2912
Total REAL time to Xst completion: 22.00 secs
2913
Total CPU time to Xst completion: 21.87 secs
2914
 
2915
-->
2916
=========================================================================
2917
*                          HDL Compilation                              *
2918
=========================================================================
2919
Compiling verilog file "../../lib/gaisler/vlog/core1.v" in library gaisler
2920
Compiling verilog include file "..\..\lib\gaisler\vlog/mips789_defs.v"
2921
Module  compiled
2922
 
2923
 
2924
Total REAL time to Xst completion: 22.00 secs
2925
Total CPU time to Xst completion: 21.90 secs
2926
 
2927
-->
2928
=========================================================================
2929
*                          HDL Compilation                              *
2930
=========================================================================
2931
Compiling vhdl file "../../lib/esa/memoryctrl/memoryctrl.vhd" in Library esa.
2932
Package  compiled.
2933
 
2934
 
2935
Total REAL time to Xst completion: 22.00 secs
2936
Total CPU time to Xst completion: 22.04 secs
2937
 
2938
-->
2939
=========================================================================
2940
*                          HDL Compilation                              *
2941
=========================================================================
2942
Compiling vhdl file "../../lib/esa/memoryctrl/mctrl.vhd" in Library esa.
2943
Entity  compiled.
2944
Entity  (Architecture ) compiled.
2945
 
2946
 
2947
Total REAL time to Xst completion: 22.00 secs
2948
Total CPU time to Xst completion: 22.31 secs
2949
 
2950
-->
2951
 
2952
Total memory usage is 580472 kilobytes
2953
 
2954
Number of errors   :    0 (   0 filtered)
2955
Number of warnings :   10 (   0 filtered)
2956
Number of infos    :    0 (   0 filtered)
2957
 
2958
xst -ifn leon3mp.xst
2959
Release 11.1 - xst L.33 (nt)
2960
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
2961
-->
2962
=========================================================================
2963
*                          HDL Compilation                              *
2964
=========================================================================
2965
Compiling vhdl file "config.vhd" in Library work.
2966
Package  compiled.
2967
 
2968
 
2969
Total REAL time to Xst completion: 0.00 secs
2970
Total CPU time to Xst completion: 0.19 secs
2971
 
2972
-->
2973
=========================================================================
2974
*                          HDL Compilation                              *
2975
=========================================================================
2976
Compiling vhdl file "ahbrom.vhd" in Library work.
2977
Entity  compiled.
2978
Entity  (Architecture ) compiled.
2979
 
2980
 
2981
Total REAL time to Xst completion: 0.00 secs
2982
Total CPU time to Xst completion: 0.28 secs
2983
 
2984
-->
2985
=========================================================================
2986
*                          HDL Compilation                              *
2987
=========================================================================
2988
Compiling vhdl file "vga_clkgen.vhd" in Library work.
2989
Entity  compiled.
2990
Entity  (Architecture ) compiled.
2991
 
2992
 
2993
Total REAL time to Xst completion: 0.00 secs
2994
Total CPU time to Xst completion: 0.36 secs
2995
 
2996
-->
2997
=========================================================================
2998
*                          HDL Compilation                              *
2999
=========================================================================
3000
Compiling vhdl file "leon3mp.vhd" in Library work.
3001
Entity  compiled.
3002
Entity  (Architecture ) compiled.
3003
 
3004
 
3005
Total REAL time to Xst completion: 0.00 secs
3006
Total CPU time to Xst completion: 0.58 secs
3007
 
3008
-->
3009
TABLE OF CONTENTS
3010
  1) Synthesis Options Summary
3011
  2) HDL Compilation
3012
  3) Design Hierarchy Analysis
3013
  4) HDL Analysis
3014
  5) HDL Synthesis
3015
     5.1) HDL Synthesis Report
3016
  6) Advanced HDL Synthesis
3017
     6.1) Advanced HDL Synthesis Report
3018
  7) Low Level Synthesis
3019
  8) Partition Report
3020
  9) Final Report
3021
        9.1) Device utilization summary
3022
        9.2) Partition Resource Summary
3023
        9.3) TIMING REPORT
3024
 
3025
 
3026
=========================================================================
3027
*                      Synthesis Options Summary                        *
3028
=========================================================================
3029
---- Source Parameters
3030
Input File Name                    : "leon3mp.vhd"
3031
Input Format                       : vhdl
3032
 
3033
---- Target Parameters
3034
Target Device                      : xc3s1500-fg456-4
3035
Output File Name                   : "leon3mp"
3036
 
3037
---- Source Options
3038
Automatic FSM Extraction           : no
3039
 
3040
---- Target Options
3041
Pack IO Registers into IOBs        : true
3042
 
3043
---- General Options
3044
Read Cores                         : yes
3045
Bus Delimiter                      : ()
3046
 
3047
---- Other Options
3048
Cores Search Directories           : ../../netlists/xilinx/spartan3/xst
3049
 
3050
=========================================================================
3051
 
3052
WARNING:Xst:29 - Optimization Effort not specified
3053
The following parameters have been added:
3054
Optimization Goal                  : SPEED
3055
 
3056
=========================================================================
3057
 
3058
=========================================================================
3059
*                          HDL Compilation                              *
3060
=========================================================================
3061
Compiling vhdl file "leon3mp.vhd" in Library work.
3062
Architecture rtl of Entity leon3mp is up to date.
3063
 
3064
=========================================================================
3065
*                     Design Hierarchy Analysis                         *
3066
=========================================================================
3067
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3068
        clktech = 11
3069
        dbguart = 0
3070
        disas = 0
3071
        fabtech = 11
3072
        memtech = 11
3073
        padtech = 11
3074
        pclow = 2
3075
 
3076
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3077
        arch = 0
3078
        hf = 0
3079
        level = 0
3080
        tech = 11
3081
        voltage = 3
3082
 
3083
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3084
        filter = 0
3085
        level = 0
3086
        strength = 0
3087
        tech = 11
3088
        voltage = 3
3089
 
3090
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3091
        clk2xen = 0
3092
        clk_div = 5
3093
        clk_mul = 4
3094
        clk_odiv = 0
3095
        clksel = 0
3096
        freq = 50000
3097
        noclkfb = 0
3098
        pcidll = 0
3099
        pcien = 0
3100
        pcisysclk = 0
3101
        sdramen = 1
3102
        tech = 11
3103
 
3104
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3105
        level = 0
3106
        slew = 1
3107
        strength = 24
3108
        tech = 11
3109
        voltage = 3
3110
 
3111
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3112
        acthigh = 0
3113
        scanen = 0
3114
        syncrst = 0
3115
 
3116
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3117
        arbdisable = 0
3118
        asserterr = 0
3119
        assertwarn = 0
3120
        cfgaddr = 4080
3121
        cfgmask = 4080
3122
        debug = 2
3123
        defmast = 0
3124
        devid = 0
3125
        disirq = 0
3126
        enbusmon = 0
3127
        enebterm = 0
3128
        fixbrst = 0
3129
        fpnpen = 0
3130
        hmstdisable = 0
3131
        hslvdisable = 0
3132
        icheck = 1
3133
        ioaddr = 4095
3134
        ioen = 0
3135
        iomask = 4095
3136
        mprio = 0
3137
        nahbm = 3
3138
        nahbs = 8
3139
        rrobin = 1
3140
        split = 0
3141
        timeout = 0
3142
 
3143
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3144
        cached = 0
3145
        cp = 0
3146
        dcen = 1
3147
        disas = 0
3148
        dlinesize = 4
3149
        dlram = 0
3150
        dlramsize = 1
3151
        dlramstart = 143
3152
        drepl = 0
3153
        dsetlock = 0
3154
        dsets = 1
3155
        dsetsize = 4
3156
        dsnoop = 1
3157
        dsu = 1
3158
        dtlbnum = 2
3159
        fabtech = 11
3160
        fpu = 0
3161
        hindex = 0
3162
        icen = 1
3163
        ilinesize = 8
3164
        ilram = 0
3165
        ilramsize = 1
3166
        ilramstart = 142
3167
        irepl = 0
3168
        isetlock = 0
3169
        isets = 2
3170
        isetsize = 4
3171
        itlbnum = 2
3172
        lddel = 1
3173
        mac = 0
3174
        memtech = 11
3175
        mmuen = 0
3176
        notag = 0
3177
        nwindows = 8
3178
        nwp = 2
3179
        pclow = 2
3180
        pwd = 0
3181
        rstaddr = 0
3182
        scantest = 0
3183
        smp = 0
3184
        svt = 1
3185
        tbuf = 2
3186
        tlb_rep = 1
3187
        tlb_type = 1
3188
        v8 = 50
3189
 
3190
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3191
        level = 0
3192
        oepol = 0
3193
        slew = 0
3194
        strength = 12
3195
        tech = 11
3196
        voltage = 3
3197
 
3198
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3199
        haddr = 2304
3200
        hindex = 2
3201
        hmask = 3840
3202
        irq = 0
3203
        kbytes = 0
3204
        ncpu = 1
3205
        tbits = 30
3206
        tech = 11
3207
 
3208
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3209
        level = 0
3210
        slew = 0
3211
        strength = 12
3212
        tech = 11
3213
        voltage = 3
3214
 
3215
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3216
        hindex = 1
3217
        paddr = 7
3218
        pindex = 7
3219
        pmask = 4095
3220
 
3221
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3222
        ainst = 2
3223
        dinst = 3
3224
        hindex = 2
3225
        idcode = 9
3226
        manf = 804
3227
        nsync = 1
3228
        part = 0
3229
        scantest = 0
3230
        tech = 11
3231
        ver = 0
3232
 
3233
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3234
        fast = 0
3235
        hindex = 0
3236
        invclk = 0
3237
        ioaddr = 512
3238
        iomask = 3584
3239
        mobile = 0
3240
        oepol = 0
3241
        paddr = 0
3242
        pageburst = 1
3243
        pindex = 0
3244
        pmask = 4095
3245
        ram16 = 0
3246
        ram8 = 1
3247
        ramaddr = 1024
3248
        rammask = 3072
3249
        romaddr = 0
3250
        romasel = 28
3251
        rommask = 3584
3252
        scantest = 0
3253
        sdbits = 32
3254
        sden = 1
3255
        sdlsb = 2
3256
        sdrasel = 29
3257
        sepbus = 0
3258
        srbanks = 2
3259
        syncrst = 0
3260
        wprot = 0
3261
 
3262
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3263
        level = 0
3264
        slew = 0
3265
        strength = 12
3266
        tech = 11
3267
        voltage = 3
3268
        width = 4
3269
 
3270
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3271
        level = 0
3272
        slew = 0
3273
        strength = 12
3274
        tech = 11
3275
        voltage = 3
3276
        width = 2
3277
 
3278
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3279
        level = 0
3280
        slew = 0
3281
        strength = 12
3282
        tech = 11
3283
        voltage = 3
3284
        width = 28
3285
 
3286
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3287
        level = 0
3288
        slew = 0
3289
        strength = 12
3290
        tech = 11
3291
        voltage = 3
3292
        width = 5
3293
 
3294
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3295
        level = 0
3296
        oepol = 0
3297
        slew = 0
3298
        strength = 12
3299
        tech = 11
3300
        voltage = 3
3301
        width = 8
3302
 
3303
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3304
        asserterr = 0
3305
        assertwarn = 0
3306
        debug = 2
3307
        enbusmon = 0
3308
        haddr = 2048
3309
        hindex = 1
3310
        hmask = 4095
3311
        icheck = 1
3312
        nslaves = 16
3313
        pslvdisable = 0
3314
 
3315
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3316
        abits = 8
3317
        console = 0
3318
        fifosize = 4
3319
        flow = 1
3320
        paddr = 1
3321
        parity = 1
3322
        pindex = 1
3323
        pirq = 2
3324
        pmask = 4095
3325
 
3326
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3327
        eirq = 0
3328
        ncpu = 1
3329
        paddr = 2
3330
        pindex = 2
3331
        pmask = 4095
3332
 
3333
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3334
        nbits = 32
3335
        ntimers = 2
3336
        paddr = 3
3337
        pindex = 3
3338
        pirq = 8
3339
        pmask = 4095
3340
        sbits = 8
3341
        sepirq = 1
3342
        wdog = 0
3343
 
3344
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3345
        level = 0
3346
        oepol = 0
3347
        slew = 0
3348
        strength = 12
3349
        tech = 11
3350
        voltage = 3
3351
 
3352
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3353
        level = 0
3354
        slew = 0
3355
        strength = 12
3356
        tech = 11
3357
        voltage = 3
3358
        width = 8
3359
 
3360
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3361
        bpdir = 0
3362
        bypass = 0
3363
        imask = 0
3364
        nbits = 18
3365
        oepol = 0
3366
        paddr = 8
3367
        pindex = 8
3368
        pmask = 4095
3369
        scantest = 0
3370
        syncrst = 0
3371
 
3372
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3373
        arch = 0
3374
        hf = 0
3375
        level = 0
3376
        voltage = 3
3377
 
3378
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3379
        level = 0
3380
        voltage = 3
3381
 
3382
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3383
        clk2xen = 0
3384
        clk_div = 5
3385
        clk_mul = 4
3386
        clksel = 0
3387
        freq = 50000
3388
        noclkfb = 0
3389
        pcidll = 0
3390
        pcien = 0
3391
        pcisysclk = 0
3392
        sdramen = 1
3393
 
3394
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3395
        level = 0
3396
        slew = 1
3397
        strength = 24
3398
        voltage = 3
3399
 
3400
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3401
        cached = 0
3402
        clk2x = 0
3403
        cp = 0
3404
        dcen = 1
3405
        disas = 0
3406
        dlinesize = 4
3407
        dlram = 0
3408
        dlramsize = 1
3409
        dlramstart = 143
3410
        drepl = 0
3411
        dsetlock = 0
3412
        dsets = 1
3413
        dsetsize = 4
3414
        dsnoop = 1
3415
        dsu = 1
3416
        dtlbnum = 2
3417
        fabtech = 11
3418
        fpu = 0
3419
        hindex = 0
3420
        icen = 1
3421
        ilinesize = 8
3422
        ilram = 0
3423
        ilramsize = 1
3424
        ilramstart = 142
3425
        irepl = 0
3426
        isetlock = 0
3427
        isets = 2
3428
        isetsize = 4
3429
        itlbnum = 2
3430
        lddel = 1
3431
        mac = 0
3432
        memtech = 11
3433
        mmuen = 0
3434
        notag = 0
3435
        nwindows = 8
3436
        nwp = 2
3437
        pclow = 2
3438
        pwd = 0
3439
        rstaddr = 0
3440
        scantest = 0
3441
        smp = 0
3442
        svt = 1
3443
        tbuf = 2
3444
        tlb_rep = 1
3445
        tlb_type = 1
3446
        v8 = 50
3447
 
3448
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3449
        abits = 5
3450
        dbits = 32
3451
        numregs = 32
3452
        tech = 11
3453
        wrfst = 1
3454
 
3455
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3456
        dcen = 1
3457
        dlinesize = 4
3458
        dlram = 0
3459
        dlramsize = 1
3460
        drepl = 0
3461
        dsetlock = 0
3462
        dsets = 1
3463
        dsetsize = 4
3464
        dsnoop = 1
3465
        icen = 1
3466
        ilinesize = 8
3467
        ilram = 0
3468
        ilramsize = 1
3469
        irepl = 0
3470
        isetlock = 0
3471
        isets = 2
3472
        isetsize = 4
3473
        mmuen = 0
3474
        tech = 11
3475
 
3476
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3477
        tbuf = 2
3478
        tech = 11
3479
 
3480
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3481
        level = 0
3482
        slew = 0
3483
        strength = 12
3484
        voltage = 3
3485
 
3486
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3487
        clk2x = 0
3488
        haddr = 2304
3489
        hindex = 2
3490
        hmask = 3840
3491
        irq = 0
3492
        kbytes = 0
3493
        ncpu = 1
3494
        tbits = 30
3495
        tech = 11
3496
 
3497
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3498
        level = 0
3499
        slew = 0
3500
        strength = 12
3501
        voltage = 3
3502
 
3503
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3504
        chprot = 3
3505
        devid = 7
3506
        hindex = 1
3507
        hirq = 0
3508
        incaddr = 0
3509
        venid = 1
3510
        version = 0
3511
 
3512
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3513
        paddr = 7
3514
        pindex = 7
3515
        pmask = 4095
3516
 
3517
Analyzing hierarchy for entity  in library  (architecture ).
3518
 
3519
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3520
        chprot = 3
3521
        devid = 28
3522
        hindex = 2
3523
        hirq = 0
3524
        incaddr = 0
3525
        venid = 1
3526
        version = 0
3527
 
3528
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3529
        idcode = 9
3530
        irlen = 6
3531
        manf = 804
3532
        part = 0
3533
        scantest = 0
3534
        tech = 11
3535
        trsten = 1
3536
        ver = 0
3537
 
3538
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3539
        ainst = 2
3540
        dinst = 3
3541
        isel = 1
3542
        nsync = 1
3543
 
3544
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3545
        fast = 0
3546
        invclk = 0
3547
        mobile = 0
3548
        pageburst = 1
3549
        pindex = 0
3550
        sdbits = 32
3551
        wprot = 0
3552
 
3553
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3554
        level = 0
3555
        slew = 0
3556
        strength = 12
3557
        tech = 11
3558
        voltage = 3
3559
 
3560
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3561
        level = 0
3562
        slew = 0
3563
        strength = 12
3564
        tech = 11
3565
        voltage = 3
3566
 
3567
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3568
        level = 0
3569
        slew = 0
3570
        strength = 12
3571
        tech = 11
3572
        voltage = 3
3573
 
3574
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3575
        level = 0
3576
        slew = 0
3577
        strength = 12
3578
        tech = 11
3579
        voltage = 3
3580
 
3581
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3582
        level = 0
3583
        slew = 0
3584
        strength = 12
3585
        tech = 11
3586
        voltage = 3
3587
 
3588
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3589
        level = 0
3590
        slew = 0
3591
        strength = 12
3592
        tech = 11
3593
        voltage = 3
3594
 
3595
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3596
        level = 0
3597
        slew = 0
3598
        strength = 12
3599
        tech = 11
3600
        voltage = 3
3601
 
3602
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3603
        level = 0
3604
        slew = 0
3605
        strength = 12
3606
        tech = 11
3607
        voltage = 3
3608
 
3609
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3610
        level = 0
3611
        slew = 0
3612
        strength = 12
3613
        tech = 11
3614
        voltage = 3
3615
 
3616
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3617
        level = 0
3618
        oepol = 0
3619
        slew = 0
3620
        strength = 12
3621
        tech = 11
3622
        voltage = 3
3623
 
3624
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3625
        level = 0
3626
        slew = 0
3627
        strength = 12
3628
        voltage = 3
3629
 
3630
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3631
        level = 0
3632
        slew = 0
3633
        strength = 12
3634
        tech = 11
3635
        voltage = 3
3636
 
3637
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3638
        level = 0
3639
        slew = 0
3640
        strength = 12
3641
        tech = 11
3642
        voltage = 3
3643
 
3644
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3645
        level = 0
3646
        slew = 0
3647
        strength = 12
3648
        tech = 11
3649
        voltage = 3
3650
 
3651
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3652
        level = 0
3653
        slew = 0
3654
        strength = 12
3655
        tech = 11
3656
        voltage = 3
3657
 
3658
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3659
        level = 0
3660
        slew = 0
3661
        strength = 12
3662
        tech = 11
3663
        voltage = 3
3664
 
3665
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3666
        level = 0
3667
        slew = 0
3668
        strength = 12
3669
        tech = 11
3670
        voltage = 3
3671
 
3672
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3673
        level = 0
3674
        slew = 0
3675
        strength = 12
3676
        tech = 11
3677
        voltage = 3
3678
 
3679
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3680
        level = 0
3681
        slew = 0
3682
        strength = 12
3683
        tech = 11
3684
        voltage = 3
3685
 
3686
Analyzing hierarchy for entity  in library  (architecture ).
3687
 
3688
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3689
        infer = 1
3690
        mac = 0
3691
        multype = 3
3692
        pipe = 1
3693
 
3694
Analyzing hierarchy for entity  in library  (architecture ).
3695
 
3696
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3697
        cached = 0
3698
        clk2x = 0
3699
        dcen = 1
3700
        dlinesize = 4
3701
        dlram = 0
3702
        dlramsize = 1
3703
        dlramstart = 143
3704
        drepl = 0
3705
        dsetlock = 0
3706
        dsets = 1
3707
        dsetsize = 4
3708
        dsnoop = 1
3709
        dsu = 1
3710
        hindex = 0
3711
        icen = 1
3712
        ilinesize = 8
3713
        ilram = 0
3714
        ilramsize = 1
3715
        ilramstart = 142
3716
        irepl = 0
3717
        isetlock = 0
3718
        isets = 2
3719
        isetsize = 4
3720
        memtech = 11
3721
        scantest = 0
3722
 
3723
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3724
        abits = 5
3725
        dbits = 32
3726
        numregs = 32
3727
        tech = 11
3728
        wrfst = 1
3729
 
3730
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3731
        abits = 7
3732
        dbits = 28
3733
        tech = 11
3734
 
3735
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3736
        abits = 10
3737
        dbits = 32
3738
        tech = 11
3739
 
3740
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3741
        abits = 8
3742
        dbits = 24
3743
        tech = 11
3744
 
3745
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3746
        abits = 7
3747
        tech = 11
3748
 
3749
Analyzing hierarchy for entity  in library  (architecture ).
3750
 
3751
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3752
        level = 0
3753
        slew = 0
3754
        strength = 12
3755
        voltage = 3
3756
 
3757
Analyzing hierarchy for entity  in library  (architecture ).
3758
 
3759
Analyzing hierarchy for module  in library .
3760
 
3761
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3762
        icen = 1
3763
        ilinesize = 8
3764
        irepl = 0
3765
        isetlock = 0
3766
        isets = 2
3767
        isetsize = 4
3768
        lram = 0
3769
        lramsize = 1
3770
        lramstart = 142
3771
 
3772
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3773
        cached = 0
3774
        dcen = 1
3775
        dlinesize = 4
3776
        dlram = 0
3777
        dlramsize = 1
3778
        dlramstart = 143
3779
        drepl = 0
3780
        dsetlock = 0
3781
        dsets = 1
3782
        dsetsize = 4
3783
        dsnoop = 1
3784
        dsu = 1
3785
        ilram = 0
3786
        ilramstart = 142
3787
        memtech = 11
3788
 
3789
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3790
        cached = 0
3791
        clk2x = 0
3792
        hindex = 0
3793
        ilinesize = 8
3794
        scantest = 0
3795
 
3796
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3797
        abits = 7
3798
        dbits = 28
3799
 
3800
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3801
        abits = 10
3802
        dbits = 32
3803
 
3804
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3805
        abits = 8
3806
        dbits = 24
3807
 
3808
Analyzing hierarchy for entity  in library  (architecture ) with generics.
3809
        abits = 7
3810
 
3811
Analyzing hierarchy for module  in library .
3812
 
3813
Analyzing hierarchy for module  in library .
3814
 
3815
Analyzing hierarchy for module  in library .
3816
 
3817
Analyzing hierarchy for module  in library .
3818
 
3819
Analyzing hierarchy for module  in library .
3820
 
3821
Analyzing hierarchy for module  in library .
3822
 
3823
Analyzing hierarchy for module  in library .
3824
 
3825
Analyzing hierarchy for module  in library .
3826
 
3827
Analyzing hierarchy for module  in library .
3828
 
3829
Analyzing hierarchy for module  in library .
3830
 
3831
Analyzing hierarchy for module  in library .
3832
 
3833
Analyzing hierarchy for module  in library  with parameters.
3834
        ID_CUR = "00000000000000000000000000000001"
3835
        ID_LD = "00000000000000000000000000000101"
3836
        ID_MUL = "00000000000000000000000000000010"
3837
        ID_NOI = "00000000000000000000000000000110"
3838
        ID_RET = "00000000000000000000000000000100"
3839
        PC_IGN = "00000000000000000000000000000001"
3840
        PC_IRQ = "00000000000000000000000000000100"
3841
        PC_KEP = "00000000000000000000000000000010"
3842
        PC_RST = "00000000000000000000000000001000"
3843
 
3844
Analyzing hierarchy for module  in library .
3845
 
3846
Analyzing hierarchy for module  in library .
3847
 
3848
Analyzing hierarchy for module  in library .
3849
 
3850
Analyzing hierarchy for module  in library .
3851
 
3852
Analyzing hierarchy for module  in library .
3853
 
3854
Analyzing hierarchy for module  in library .
3855
 
3856
Analyzing hierarchy for module  in library .
3857
 
3858
Analyzing hierarchy for module  in library .
3859
 
3860
Analyzing hierarchy for module  in library .
3861
 
3862
Analyzing hierarchy for module  in library .
3863
 
3864
Analyzing hierarchy for module  in library .
3865
 
3866
Analyzing hierarchy for module  in library .
3867
 
3868
Analyzing hierarchy for module  in library .
3869
 
3870
Analyzing hierarchy for module  in library .
3871
 
3872
Analyzing hierarchy for module  in library .
3873
 
3874
Analyzing hierarchy for module  in library .
3875
 
3876
Analyzing hierarchy for module  in library .
3877
 
3878
Analyzing hierarchy for module  in library .
3879
 
3880
Analyzing hierarchy for module  in library  with parameters.
3881
        OP_DIV = "00000000000000000000000000001011"
3882
        OP_DIVU = "00000000000000000000000000001010"
3883
        OP_MFHI = "00000000000000000000000000000110"
3884
        OP_MFLO = "00000000000000000000000000000111"
3885
        OP_MTHI = "00000000000000000000000000011111"
3886
        OP_MTLO = "00000000000000000000000000011110"
3887
        OP_MULT = "00000000000000000000000000001001"
3888
        OP_MULTU = "00000000000000000000000000001000"
3889
        OP_NONE = "00000000000000000000000000000000"
3890
 
3891
Analyzing hierarchy for module  in library .
3892
 
3893
Analyzing hierarchy for module  in library .
3894
 
3895
Analyzing hierarchy for module  in library .
3896
 
3897
Analyzing hierarchy for module  in library .
3898
 
3899
Analyzing hierarchy for module  in library .
3900
 
3901
Analyzing hierarchy for module  in library .
3902
 
3903
Analyzing hierarchy for module  in library .
3904
 
3905
Analyzing hierarchy for module  in library .
3906
 
3907
Analyzing hierarchy for module  in library .
3908
 
3909
Analyzing hierarchy for module  in library .
3910
 
3911
Analyzing hierarchy for module  in library .
3912
 
3913
Analyzing hierarchy for module  in library .
3914
 
3915
Analyzing hierarchy for module  in library .
3916
 
3917
Analyzing hierarchy for module  in library .
3918
 
3919
Analyzing hierarchy for module  in library .
3920
 
3921
Analyzing hierarchy for module  in library .
3922
 
3923
Analyzing hierarchy for module  in library .
3924
 
3925
Analyzing hierarchy for module  in library .
3926
 
3927
Analyzing hierarchy for module  in library .
3928
 
3929
Analyzing hierarchy for module  in library .
3930
 
3931
Analyzing hierarchy for module  in library .
3932
 
3933
Analyzing hierarchy for module  in library .
3934
 
3935
Analyzing hierarchy for module  in library .
3936
 
3937
WARNING:Xst:2591 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 586: attribute on instance  overrides generic/parameter on component. It is possible that simulator will not take this attribute into account.
3938
 
3939
=========================================================================
3940
*                            HDL Analysis                               *
3941
=========================================================================
3942
Analyzing generic Entity  in library  (Architecture ).
3943
        clktech = 11
3944
        dbguart = 0
3945
        disas = 0
3946
        fabtech = 11
3947
        memtech = 11
3948
        padtech = 11
3949
        pclow = 2
3950
WARNING:Xst:37 - Detected unknown constraint/property "syn_netlist_hierarchy". This constraint/property is not supported by the current software release and will be ignored.
3951
    Set property "syn_keep = TRUE" for signal .
3952
    Set property "syn_preserve = TRUE" for signal .
3953
    Set user-defined property "KEEP =  TRUE" for signal  (previous value was "KEEP soft").
3954
WARNING:Xst:752 - "leon3mp.vhd" line 263: Unconnected input port 'rstn' of component 'clkpad' is tied to default value.
3955
WARNING:Xst:753 - "leon3mp.vhd" line 263: Unconnected output port 'lock' of component 'clkpad'.
3956
WARNING:Xst:752 - "leon3mp.vhd" line 265: Unconnected input port 'rstn' of component 'clkpad' is tied to default value.
3957
WARNING:Xst:753 - "leon3mp.vhd" line 265: Unconnected output port 'lock' of component 'clkpad'.
3958
WARNING:Xst:753 - "leon3mp.vhd" line 266: Unconnected output port 'clkn' of component 'clkgen'.
3959
WARNING:Xst:753 - "leon3mp.vhd" line 266: Unconnected output port 'clk2x' of component 'clkgen'.
3960
WARNING:Xst:753 - "leon3mp.vhd" line 266: Unconnected output port 'pciclk' of component 'clkgen'.
3961
WARNING:Xst:753 - "leon3mp.vhd" line 266: Unconnected output port 'clk4x' of component 'clkgen'.
3962
WARNING:Xst:753 - "leon3mp.vhd" line 266: Unconnected output port 'clk2xu' of component 'clkgen'.
3963
WARNING:Xst:752 - "leon3mp.vhd" line 275: Unconnected input port 'testrst' of component 'rstgen' is tied to default value.
3964
WARNING:Xst:752 - "leon3mp.vhd" line 275: Unconnected input port 'testen' of component 'rstgen' is tied to default value.
3965
WARNING:Xst:752 - "leon3mp.vhd" line 282: Unconnected input port 'testen' of component 'ahbctrl' is tied to default value.
3966
WARNING:Xst:752 - "leon3mp.vhd" line 282: Unconnected input port 'testrst' of component 'ahbctrl' is tied to default value.
3967
WARNING:Xst:752 - "leon3mp.vhd" line 282: Unconnected input port 'scanen' of component 'ahbctrl' is tied to default value.
3968
WARNING:Xst:752 - "leon3mp.vhd" line 282: Unconnected input port 'testoen' of component 'ahbctrl' is tied to default value.
3969
WARNING:Xst:753 - "leon3mp.vhd" line 331: Unconnected output port 'tapo_tck' of component 'ahbjtag'.
3970
WARNING:Xst:753 - "leon3mp.vhd" line 331: Unconnected output port 'tapo_tdi' of component 'ahbjtag'.
3971
WARNING:Xst:753 - "leon3mp.vhd" line 331: Unconnected output port 'tapo_inst' of component 'ahbjtag'.
3972
WARNING:Xst:753 - "leon3mp.vhd" line 331: Unconnected output port 'tapo_rst' of component 'ahbjtag'.
3973
WARNING:Xst:753 - "leon3mp.vhd" line 331: Unconnected output port 'tapo_capt' of component 'ahbjtag'.
3974
WARNING:Xst:753 - "leon3mp.vhd" line 331: Unconnected output port 'tapo_shft' of component 'ahbjtag'.
3975
WARNING:Xst:753 - "leon3mp.vhd" line 331: Unconnected output port 'tapo_upd' of component 'ahbjtag'.
3976
WARNING:Xst:752 - "leon3mp.vhd" line 331: Unconnected input port 'trst' of component 'ahbjtag' is tied to default value.
3977
WARNING:Xst:753 - "leon3mp.vhd" line 331: Unconnected output port 'tdoen' of component 'ahbjtag'.
3978
Entity  analyzed. Unit  generated.
3979
 
3980
Analyzing generic Entity  in library  (Architecture ).
3981
        arch = 0
3982
        hf = 0
3983
        level = 0
3984
        tech = 11
3985
        voltage = 3
3986
Entity  analyzed. Unit  generated.
3987
 
3988
Analyzing generic Entity  in library  (Architecture ).
3989
        arch = 0
3990
        hf = 0
3991
        level = 0
3992
        voltage = 3
3993
WARNING:Xst:2211 - "../../lib/techmap/unisim/pads_unisim.vhd" line 450: Instantiating black box module .
3994
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
3995
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
3996
Entity  analyzed. Unit  generated.
3997
 
3998
Analyzing generic Entity  in library  (Architecture ).
3999
        filter = 0
4000
        level = 0
4001
        strength = 0
4002
        tech = 11
4003
        voltage = 3
4004
Entity  analyzed. Unit  generated.
4005
 
4006
Analyzing generic Entity  in library  (Architecture ).
4007
        level = 0
4008
        voltage = 3
4009
WARNING:Xst:2211 - "../../lib/techmap/unisim/pads_unisim.vhd" line 52: Instantiating black box module .
4010
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
4011
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
4012
Entity  analyzed. Unit  generated.
4013
 
4014
Analyzing generic Entity  in library  (Architecture ).
4015
        clk2xen = 0
4016
        clk_div = 5
4017
        clk_mul = 4
4018
        clk_odiv = 0
4019
        clksel = 0
4020
        freq = 50000
4021
        noclkfb = 0
4022
        pcidll = 0
4023
        pcien = 0
4024
        pcisysclk = 0
4025
        sdramen = 1
4026
        tech = 11
4027
Entity  analyzed. Unit  generated.
4028
 
4029
Analyzing generic Entity  in library  (Architecture ).
4030
        clk2xen = 0
4031
        clk_div = 5
4032
        clk_mul = 4
4033
        clksel = 0
4034
        freq = 50000
4035
        noclkfb = 0
4036
        pcidll = 0
4037
        pcien = 0
4038
        pcisysclk = 0
4039
        sdramen = 1
4040
WARNING:Xst:2211 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 574: Instantiating black box module .
4041
WARNING:Xst:2211 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 575: Instantiating black box module .
4042
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK90' of component 'DCM'.
4043
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK180' of component 'DCM'.
4044
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK270' of component 'DCM'.
4045
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK2X180' of component 'DCM'.
4046
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLKDV' of component 'DCM'.
4047
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'PSDONE' of component 'DCM'.
4048
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'STATUS' of component 'DCM'.
4049
WARNING:Xst:2211 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 586: Instantiating black box module .
4050
    Set user-defined property "CLKDV_DIVIDE =  2.0000000000000000" for instance  in unit .
4051
    Set user-defined property "CLKFX_DIVIDE =  5" for instance  in unit .
4052
    Set user-defined property "CLKFX_MULTIPLY =  4" for instance  in unit .
4053
    Set user-defined property "CLKIN_DIVIDE_BY_2 =  FALSE" for instance  in unit .
4054
    Set user-defined property "CLKIN_PERIOD =  20.0000000000000000" for instance  in unit .
4055
    Set user-defined property "CLKOUT_PHASE_SHIFT =  NONE" for instance  in unit .
4056
    Set user-defined property "CLK_FEEDBACK =  2X" for instance  in unit .
4057
    Set user-defined property "DESKEW_ADJUST =  SYSTEM_SYNCHRONOUS" for instance  in unit .
4058
    Set user-defined property "DFS_FREQUENCY_MODE =  LOW" for instance  in unit .
4059
    Set user-defined property "DLL_FREQUENCY_MODE =  LOW" for instance  in unit .
4060
    Set user-defined property "DSS_MODE =  NONE" for instance  in unit .
4061
    Set user-defined property "DUTY_CYCLE_CORRECTION =  TRUE" for instance  in unit .
4062
    Set user-defined property "FACTORY_JF =  C080" for instance  in unit .
4063
    Set user-defined property "PHASE_SHIFT =  0" for instance  in unit .
4064
    Set user-defined property "STARTUP_WAIT =  FALSE" for instance  in unit .
4065
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK90' of component 'DCM'.
4066
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK180' of component 'DCM'.
4067
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK270' of component 'DCM'.
4068
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK2X' of component 'DCM'.
4069
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK2X180' of component 'DCM'.
4070
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLKDV' of component 'DCM'.
4071
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLKFX' of component 'DCM'.
4072
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLKFX180' of component 'DCM'.
4073
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'PSDONE' of component 'DCM'.
4074
WARNING:Xst:753 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'STATUS' of component 'DCM'.
4075
WARNING:Xst:2211 - "../../lib/techmap/unisim/clkgen_unisim.vhd" line 620: Instantiating black box module .
4076
    Set user-defined property "CLKDV_DIVIDE =  2.0000000000000000" for instance  in unit .
4077
    Set user-defined property "CLKFX_DIVIDE =  2" for instance  in unit .
4078
    Set user-defined property "CLKFX_MULTIPLY =  2" for instance  in unit .
4079
    Set user-defined property "CLKIN_DIVIDE_BY_2 =  FALSE" for instance  in unit .
4080
    Set user-defined property "CLKIN_PERIOD =  10.0000000000000000" for instance  in unit .
4081
    Set user-defined property "CLKOUT_PHASE_SHIFT =  NONE" for instance  in unit .
4082
    Set user-defined property "CLK_FEEDBACK =  1X" for instance  in unit .
4083
    Set user-defined property "DESKEW_ADJUST =  SYSTEM_SYNCHRONOUS" for instance  in unit .
4084
    Set user-defined property "DFS_FREQUENCY_MODE =  LOW" for instance  in unit .
4085
    Set user-defined property "DLL_FREQUENCY_MODE =  LOW" for instance  in unit .
4086
    Set user-defined property "DSS_MODE =  NONE" for instance  in unit .
4087
    Set user-defined property "DUTY_CYCLE_CORRECTION =  TRUE" for instance  in unit .
4088
    Set user-defined property "FACTORY_JF =  C080" for instance  in unit .
4089
    Set user-defined property "PHASE_SHIFT =  0" for instance  in unit .
4090
    Set user-defined property "STARTUP_WAIT =  FALSE" for instance  in unit .
4091
Entity  analyzed. Unit  generated.
4092
 
4093
Analyzing generic Entity  in library  (Architecture ).
4094
        level = 0
4095
        slew = 1
4096
        strength = 24
4097
        tech = 11
4098
        voltage = 3
4099
Entity  analyzed. Unit  generated.
4100
 
4101
Analyzing generic Entity  in library  (Architecture ).
4102
        level = 0
4103
        slew = 1
4104
        strength = 24
4105
        voltage = 3
4106
WARNING:Xst:2211 - "../../lib/techmap/unisim/pads_unisim.vhd" line 182: Instantiating black box module .
4107
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
4108
    Set user-defined property "DRIVE =  24" for instance  in unit .
4109
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
4110
    Set user-defined property "SLEW =  FAST" for instance  in unit .
4111
Entity  analyzed. Unit  generated.
4112
 
4113
Analyzing generic Entity  in library  (Architecture ).
4114
        acthigh = 0
4115
        scanen = 0
4116
        syncrst = 0
4117
Entity  analyzed. Unit  generated.
4118
 
4119
Analyzing generic Entity  in library  (Architecture ).
4120
        arbdisable = 0
4121
        asserterr = 0
4122
        assertwarn = 0
4123
        cfgaddr = 4080
4124
        cfgmask = 4080
4125
        debug = 2
4126
        defmast = 0
4127
        devid = 0
4128
        disirq = 0
4129
        enbusmon = 0
4130
        enebterm = 0
4131
        fixbrst = 0
4132
        fpnpen = 0
4133
        hmstdisable = 0
4134
        hslvdisable = 0
4135
        icheck = 1
4136
        ioaddr = 4095
4137
        ioen = 0
4138
        iomask = 4095
4139
        mprio = 0
4140
        nahbm = 3
4141
        nahbs = 8
4142
        rrobin = 1
4143
        split = 0
4144
        timeout = 0
4145
WARNING:Xst:790 - "../../lib/grlib/amba/ahbctrl.vhd" line 160: Index value(s) does not match array range, simulation mismatch.
4146
WARNING:Xst:790 - "../../lib/grlib/amba/ahbctrl.vhd" line 486: Index value(s) does not match array range, simulation mismatch.
4147
WARNING:Xst:790 - "../../lib/grlib/amba/ahbctrl.vhd" line 495: Index value(s) does not match array range, simulation mismatch.
4148
WARNING:Xst:790 - "../../lib/grlib/amba/ahbctrl.vhd" line 534: Index value(s) does not match array range, simulation mismatch.
4149
WARNING:Xst:790 - "../../lib/grlib/amba/ahbctrl.vhd" line 557: Index value(s) does not match array range, simulation mismatch.
4150
WARNING:Xst:790 - "../../lib/grlib/amba/ahbctrl.vhd" line 571: Index value(s) does not match array range, simulation mismatch.
4151
WARNING:Xst:819 - "../../lib/grlib/amba/ahbctrl.vhd" line 338: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4152
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
4153
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
4154
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
4155
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , 
4156
INFO:Xst:2679 - Register  in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
4157
Entity  analyzed. Unit  generated.
4158
 
4159
Analyzing generic Entity  in library  (Architecture ).
4160
        cached = 0
4161
        cp = 0
4162
        dcen = 1
4163
        disas = 0
4164
        dlinesize = 4
4165
        dlram = 0
4166
        dlramsize = 1
4167
        dlramstart = 143
4168
        drepl = 0
4169
        dsetlock = 0
4170
        dsets = 1
4171
        dsetsize = 4
4172
        dsnoop = 1
4173
        dsu = 1
4174
        dtlbnum = 2
4175
        fabtech = 11
4176
        fpu = 0
4177
        hindex = 0
4178
        icen = 1
4179
        ilinesize = 8
4180
        ilram = 0
4181
        ilramsize = 1
4182
        ilramstart = 142
4183
        irepl = 0
4184
        isetlock = 0
4185
        isets = 2
4186
        isetsize = 4
4187
        itlbnum = 2
4188
        lddel = 1
4189
        mac = 0
4190
        memtech = 11
4191
        mmuen = 0
4192
        notag = 0
4193
        nwindows = 8
4194
        nwp = 2
4195
        pclow = 2
4196
        pwd = 0
4197
        rstaddr = 0
4198
        scantest = 0
4199
        smp = 0
4200
        svt = 1
4201
        tbuf = 2
4202
        tlb_rep = 1
4203
        tlb_type = 1
4204
        v8 = 50
4205
WARNING:Xst:37 - Detected unknown constraint/property "sync_set_reset". This constraint/property is not supported by the current software release and will be ignored.
4206
WARNING:Xst:753 - "../../lib/gaisler/leon3/leon3s.vhd" line 142: Unconnected output port 'iack_o' of component 'proc3'.
4207
Entity  analyzed. Unit  generated.
4208
 
4209
Analyzing generic Entity  in library  (Architecture ).
4210
        cached = 0
4211
        clk2x = 0
4212
        cp = 0
4213
        dcen = 1
4214
        disas = 0
4215
        dlinesize = 4
4216
        dlram = 0
4217
        dlramsize = 1
4218
        dlramstart = 143
4219
        drepl = 0
4220
        dsetlock = 0
4221
        dsets = 1
4222
        dsetsize = 4
4223
        dsnoop = 1
4224
        dsu = 1
4225
        dtlbnum = 2
4226
        fabtech = 11
4227
        fpu = 0
4228
        hindex = 0
4229
        icen = 1
4230
        ilinesize = 8
4231
        ilram = 0
4232
        ilramsize = 1
4233
        ilramstart = 142
4234
        irepl = 0
4235
        isetlock = 0
4236
        isets = 2
4237
        isetsize = 4
4238
        itlbnum = 2
4239
        lddel = 1
4240
        mac = 0
4241
        memtech = 11
4242
        mmuen = 0
4243
        notag = 0
4244
        nwindows = 8
4245
        nwp = 2
4246
        pclow = 2
4247
        pwd = 0
4248
        rstaddr = 0
4249
        scantest = 0
4250
        smp = 0
4251
        svt = 1
4252
        tbuf = 2
4253
        tlb_rep = 1
4254
        tlb_type = 1
4255
        v8 = 50
4256
Entity  analyzed. Unit  generated.
4257
 
4258
Analyzing Entity  in library  (Architecture ).
4259
Entity  analyzed. Unit  generated.
4260
 
4261
Analyzing Entity  in library  (Architecture ).
4262
Entity  analyzed. Unit  generated.
4263
 
4264
Analyzing module  in library .
4265
Module  is correct for synthesis.
4266
 
4267
Analyzing module  in library .
4268
Module  is correct for synthesis.
4269
 
4270
Analyzing module  in library .
4271
Module  is correct for synthesis.
4272
 
4273
Analyzing module  in library .
4274
        ID_CUR = 32'sb00000000000000000000000000000001
4275
        ID_LD = 32'sb00000000000000000000000000000101
4276
        ID_MUL = 32'sb00000000000000000000000000000010
4277
        ID_NOI = 32'sb00000000000000000000000000000110
4278
        ID_RET = 32'sb00000000000000000000000000000100
4279
        PC_IGN = 32'sb00000000000000000000000000000001
4280
        PC_IRQ = 32'sb00000000000000000000000000000100
4281
        PC_KEP = 32'sb00000000000000000000000000000010
4282
        PC_RST = 32'sb00000000000000000000000000001000
4283
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 46: Size mismatch between case item and case selector.
4284
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 47: Size mismatch between case item and case selector.
4285
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 58: Size mismatch between case item and case selector.
4286
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 71: Size mismatch between case item and case selector.
4287
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 82: Size mismatch between case item and case selector.
4288
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 91: Size mismatch between case item and case selector.
4289
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 92: Size mismatch between case item and case selector.
4290
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 93: Size mismatch between case item and case selector.
4291
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 94: Size mismatch between case item and case selector.
4292
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 95: Size mismatch between case item and case selector.
4293
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 96: Size mismatch between case item and case selector.
4294
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 104: Size mismatch between case item and case selector.
4295
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 111: Size mismatch between case item and case selector.
4296
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 119: Size mismatch between case item and case selector.
4297
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 127: Size mismatch between case item and case selector.
4298
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 134: Size mismatch between case item and case selector.
4299
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 142: Size mismatch between case item and case selector.
4300
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 150: Size mismatch between case item and case selector.
4301
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 158: Size mismatch between case item and case selector.
4302
Module  is correct for synthesis.
4303
 
4304
Analyzing module  in library .
4305
Module  is correct for synthesis.
4306
 
4307
Analyzing module  in library .
4308
Module  is correct for synthesis.
4309
 
4310
Analyzing module  in library .
4311
Module  is correct for synthesis.
4312
 
4313
Analyzing module  in library .
4314
Module  is correct for synthesis.
4315
 
4316
Analyzing module  in library .
4317
Module  is correct for synthesis.
4318
 
4319
Analyzing module  in library .
4320
Module  is correct for synthesis.
4321
 
4322
Analyzing module  in library .
4323
Module  is correct for synthesis.
4324
 
4325
Analyzing module  in library .
4326
Module  is correct for synthesis.
4327
 
4328
Analyzing module  in library .
4329
Module  is correct for synthesis.
4330
 
4331
Analyzing module  in library .
4332
        OP_DIV = 32'sb00000000000000000000000000001011
4333
        OP_DIVU = 32'sb00000000000000000000000000001010
4334
        OP_MFHI = 32'sb00000000000000000000000000000110
4335
        OP_MFLO = 32'sb00000000000000000000000000000111
4336
        OP_MTHI = 32'sb00000000000000000000000000011111
4337
        OP_MTLO = 32'sb00000000000000000000000000011110
4338
        OP_MULT = 32'sb00000000000000000000000000001001
4339
        OP_MULTU = 32'sb00000000000000000000000000001000
4340
        OP_NONE = 32'sb00000000000000000000000000000000
4341
"../../lib/gaisler/vlog/EXEC_stage.v" line 734: Found Parallel Case directive in module .
4342
Module  is correct for synthesis.
4343
 
4344
Analyzing module  in library .
4345
Module  is correct for synthesis.
4346
 
4347
Analyzing module  in library .
4348
Module  is correct for synthesis.
4349
 
4350
Analyzing module  in library .
4351
Module  is correct for synthesis.
4352
 
4353
Analyzing module  in library .
4354
Module  is correct for synthesis.
4355
 
4356
Analyzing module  in library .
4357
Module  is correct for synthesis.
4358
 
4359
Analyzing module  in library .
4360
Module  is correct for synthesis.
4361
 
4362
Analyzing module  in library .
4363
Module  is correct for synthesis.
4364
 
4365
Analyzing module  in library .
4366
Module  is correct for synthesis.
4367
 
4368
Analyzing module  in library .
4369
Module  is correct for synthesis.
4370
 
4371
Analyzing module  in library .
4372
Module  is correct for synthesis.
4373
 
4374
Analyzing module  in library .
4375
"../../lib/gaisler/vlog/decode_pipe1.v" line 96: Found Parallel Case directive in module .
4376
"../../lib/gaisler/vlog/decode_pipe1.v" line 653: Found Parallel Case directive in module .
4377
"../../lib/gaisler/vlog/decode_pipe1.v" line 1044: $display : mtco
4378
"../../lib/gaisler/vlog/decode_pipe1.v" line 1021: Found Parallel Case directive in module .
4379
"../../lib/gaisler/vlog/decode_pipe1.v" line 93: Found Parallel Case directive in module .
4380
Module  is correct for synthesis.
4381
 
4382
Analyzing module  in library .
4383
Module  is correct for synthesis.
4384
 
4385
Analyzing module  in library .
4386
Module  is correct for synthesis.
4387
 
4388
Analyzing module  in library .
4389
Module  is correct for synthesis.
4390
 
4391
Analyzing module  in library .
4392
Module  is correct for synthesis.
4393
 
4394
Analyzing module  in library .
4395
Module  is correct for synthesis.
4396
 
4397
Analyzing module  in library .
4398
Module  is correct for synthesis.
4399
 
4400
Analyzing module  in library .
4401
Module  is correct for synthesis.
4402
 
4403
Analyzing module  in library .
4404
Module  is correct for synthesis.
4405
 
4406
Analyzing module  in library .
4407
Module  is correct for synthesis.
4408
 
4409
Analyzing module  in library .
4410
Module  is correct for synthesis.
4411
 
4412
Analyzing module  in library .
4413
Module  is correct for synthesis.
4414
 
4415
Analyzing module  in library .
4416
Module  is correct for synthesis.
4417
 
4418
Analyzing module  in library .
4419
Module  is correct for synthesis.
4420
 
4421
Analyzing module  in library .
4422
Module  is correct for synthesis.
4423
 
4424
Analyzing module  in library .
4425
Module  is correct for synthesis.
4426
 
4427
Analyzing module  in library .
4428
Module  is correct for synthesis.
4429
 
4430
Analyzing module  in library .
4431
Module  is correct for synthesis.
4432
 
4433
Analyzing module  in library .
4434
Module  is correct for synthesis.
4435
 
4436
Analyzing module  in library .
4437
Module  is correct for synthesis.
4438
 
4439
Analyzing module  in library .
4440
Module  is correct for synthesis.
4441
 
4442
Analyzing module  in library .
4443
Module  is correct for synthesis.
4444
 
4445
Analyzing module  in library .
4446
Module  is correct for synthesis.
4447
 
4448
Analyzing module  in library .
4449
Module  is correct for synthesis.
4450
 
4451
Analyzing module  in library .
4452
Module  is correct for synthesis.
4453
 
4454
Analyzing module  in library .
4455
Module  is correct for synthesis.
4456
 
4457
Analyzing module  in library .
4458
Module  is correct for synthesis.
4459
 
4460
Analyzing module  in library .
4461
Module  is correct for synthesis.
4462
 
4463
Analyzing module  in library .
4464
Module  is correct for synthesis.
4465
 
4466
Analyzing module  in library .
4467
Module  is correct for synthesis.
4468
 
4469
Analyzing generic Entity  in library  (Architecture ).
4470
        infer = 1
4471
        mac = 0
4472
        multype = 3
4473
        pipe = 1
4474
INFO:Xst:2679 - Register  in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
4475
Entity  analyzed. Unit  generated.
4476
 
4477
Analyzing Entity  in library  (Architecture ).
4478
Entity  analyzed. Unit  generated.
4479
 
4480
Analyzing generic Entity  in library  (Architecture ).
4481
        cached = 0
4482
        clk2x = 0
4483
        dcen = 1
4484
        dlinesize = 4
4485
        dlram = 0
4486
        dlramsize = 1
4487
        dlramstart = 143
4488
        drepl = 0
4489
        dsetlock = 0
4490
        dsets = 1
4491
        dsetsize = 4
4492
        dsnoop = 1
4493
        dsu = 1
4494
        hindex = 0
4495
        icen = 1
4496
        ilinesize = 8
4497
        ilram = 0
4498
        ilramsize = 1
4499
        ilramstart = 142
4500
        irepl = 0
4501
        isetlock = 0
4502
        isets = 2
4503
        isetsize = 4
4504
        memtech = 11
4505
        scantest = 0
4506
WARNING:Xst:753 - "../../lib/gaisler/leon3/cache.vhd" line 112: Unconnected output port 'mcmmo' of component 'mmu_acache'.
4507
Entity  analyzed. Unit  generated.
4508
 
4509
Analyzing generic Entity  in library  (Architecture ).
4510
        icen = 1
4511
        ilinesize = 8
4512
        irepl = 0
4513
        isetlock = 0
4514
        isets = 2
4515
        isetsize = 4
4516
        lram = 0
4517
        lramsize = 1
4518
        lramstart = 142
4519
WARNING:Xst:790 - "../../lib/gaisler/leon3/icache.vhd" line 437: Index value(s) does not match array range, simulation mismatch.
4520
WARNING:Xst:790 - "../../lib/gaisler/leon3/icache.vhd" line 438: Index value(s) does not match array range, simulation mismatch.
4521
WARNING:Xst:790 - "../../lib/gaisler/leon3/icache.vhd" line 463: Index value(s) does not match array range, simulation mismatch.
4522
WARNING:Xst:790 - "../../lib/gaisler/leon3/icache.vhd" line 474: Index value(s) does not match array range, simulation mismatch.
4523
WARNING:Xst:790 - "../../lib/gaisler/leon3/icache.vhd" line 475: Index value(s) does not match array range, simulation mismatch.
4524
WARNING:Xst:790 - "../../lib/gaisler/leon3/icache.vhd" line 478: Index value(s) does not match array range, simulation mismatch.
4525
WARNING:Xst:819 - "../../lib/gaisler/leon3/icache.vhd" line 186: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4526
   , , , , , , , , 
4527
Entity  analyzed. Unit  generated.
4528
 
4529
Analyzing generic Entity  in library  (Architecture ).
4530
        cached = 0
4531
        dcen = 1
4532
        dlinesize = 4
4533
        dlram = 0
4534
        dlramsize = 1
4535
        dlramstart = 143
4536
        drepl = 0
4537
        dsetlock = 0
4538
        dsets = 1
4539
        dsetsize = 4
4540
        dsnoop = 1
4541
        dsu = 1
4542
        ilram = 0
4543
        ilramstart = 142
4544
        memtech = 11
4545
WARNING:Xst:819 - "../../lib/gaisler/leon3/dcache.vhd" line 232: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4546
   , , , , , , , , , , , , 
4547
Entity  analyzed. Unit  generated.
4548
 
4549
Analyzing generic Entity  in library  (Architecture ).
4550
        cached = 0
4551
        clk2x = 0
4552
        hindex = 0
4553
        ilinesize = 8
4554
        scantest = 0
4555
WARNING:Xst:819 - "../../lib/gaisler/leon3/mmu_acache.vhd" line 100: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4556
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
4557
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
4558
   , , , , , , , , , , , , , , , , , , , , , , 
4559
Entity  analyzed. Unit  generated.
4560
 
4561
Analyzing generic Entity  in library  (Architecture ).
4562
        abits = 5
4563
        dbits = 32
4564
        numregs = 32
4565
        tech = 11
4566
        wrfst = 1
4567
Entity  analyzed. Unit  generated.
4568
 
4569
Analyzing generic Entity  in library  (Architecture ).
4570
        abits = 5
4571
        dbits = 32
4572
        numregs = 32
4573
        tech = 11
4574
        wrfst = 1
4575
Entity  analyzed. Unit  generated.
4576
 
4577
Analyzing generic Entity  in library  (Architecture ).
4578
        dcen = 1
4579
        dlinesize = 4
4580
        dlram = 0
4581
        dlramsize = 1
4582
        drepl = 0
4583
        dsetlock = 0
4584
        dsets = 1
4585
        dsetsize = 4
4586
        dsnoop = 1
4587
        icen = 1
4588
        ilinesize = 8
4589
        ilram = 0
4590
        ilramsize = 1
4591
        irepl = 0
4592
        isetlock = 0
4593
        isets = 2
4594
        isetsize = 4
4595
        mmuen = 0
4596
        tech = 11
4597
WARNING:Xst:819 - "../../lib/gaisler/leon3/cachemem.vhd" line 163: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4598
   , , , , , 
4599
WARNING:Xst:752 - "../../lib/gaisler/leon3/cachemem.vhd" line 245: Unconnected input port 'testin' of component 'syncram' is tied to default value.
4600
WARNING:Xst:752 - "../../lib/gaisler/leon3/cachemem.vhd" line 247: Unconnected input port 'testin' of component 'syncram' is tied to default value.
4601
WARNING:Xst:752 - "../../lib/gaisler/leon3/cachemem.vhd" line 245: Unconnected input port 'testin' of component 'syncram' is tied to default value.
4602
WARNING:Xst:752 - "../../lib/gaisler/leon3/cachemem.vhd" line 247: Unconnected input port 'testin' of component 'syncram' is tied to default value.
4603
WARNING:Xst:752 - "../../lib/gaisler/leon3/cachemem.vhd" line 283: Unconnected input port 'testin' of component 'syncram_dp' is tied to default value.
4604
WARNING:Xst:752 - "../../lib/gaisler/leon3/cachemem.vhd" line 316: Unconnected input port 'testin' of component 'syncram' is tied to default value.
4605
Entity  analyzed. Unit  generated.
4606
 
4607
Analyzing generic Entity  in library  (Architecture ).
4608
        abits = 7
4609
        dbits = 28
4610
        tech = 11
4611
Entity  analyzed. Unit  generated.
4612
 
4613
Analyzing generic Entity  in library  (Architecture ).
4614
        abits = 7
4615
        dbits = 28
4616
WARNING:Xst:2211 - "../../lib/techmap/unisim/memory_unisim.vhd" line 544: Instantiating black box module .
4617
Entity  analyzed. Unit  generated.
4618
 
4619
Analyzing generic Entity  in library  (Architecture ).
4620
        abits = 10
4621
        dbits = 32
4622
        tech = 11
4623
Entity  analyzed. Unit  generated.
4624
 
4625
Analyzing generic Entity  in library  (Architecture ).
4626
        abits = 10
4627
        dbits = 32
4628
WARNING:Xst:2211 - "../../lib/techmap/unisim/memory_unisim.vhd" line 565: Instantiating black box module .
4629
WARNING:Xst:2211 - "../../lib/techmap/unisim/memory_unisim.vhd" line 565: Instantiating black box module .
4630
Entity  analyzed. Unit  generated.
4631
 
4632
Analyzing generic Entity  in library  (Architecture ).
4633
        abits = 8
4634
        dbits = 24
4635
        tech = 11
4636
Entity  analyzed. Unit  generated.
4637
 
4638
Analyzing generic Entity  in library  (Architecture ).
4639
        abits = 8
4640
        dbits = 24
4641
WARNING:Xst:2211 - "../../lib/techmap/unisim/memory_unisim.vhd" line 793: Instantiating black box module .
4642
Entity  analyzed. Unit  generated.
4643
 
4644
Analyzing generic Entity  in library  (Architecture ).
4645
        tbuf = 2
4646
        tech = 11
4647
Entity  analyzed. Unit  generated.
4648
 
4649
Analyzing generic Entity  in library  (Architecture ).
4650
        abits = 7
4651
        tech = 11
4652
Entity  analyzed. Unit  generated.
4653
 
4654
Analyzing generic Entity  in library  (Architecture ).
4655
        abits = 7
4656
WARNING:Xst:753 - "../../lib/techmap/unisim/memory_unisim.vhd" line 1028: Unconnected output port 'DOPA' of component 'RAMB16_S36_S36'.
4657
WARNING:Xst:753 - "../../lib/techmap/unisim/memory_unisim.vhd" line 1028: Unconnected output port 'DOPB' of component 'RAMB16_S36_S36'.
4658
WARNING:Xst:2211 - "../../lib/techmap/unisim/memory_unisim.vhd" line 1028: Instantiating black box module .
4659
Entity  analyzed. Unit  generated.
4660
 
4661
Analyzing generic Entity  in library  (Architecture ).
4662
        level = 0
4663
        oepol = 0
4664
        slew = 0
4665
        strength = 12
4666
        tech = 11
4667
        voltage = 3
4668
Entity  analyzed. Unit  generated.
4669
 
4670
Analyzing generic Entity  in library  (Architecture ).
4671
        level = 0
4672
        slew = 0
4673
        strength = 12
4674
        voltage = 3
4675
WARNING:Xst:2211 - "../../lib/techmap/unisim/pads_unisim.vhd" line 251: Instantiating black box module .
4676
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
4677
    Set user-defined property "DRIVE =  12" for instance  in unit .
4678
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
4679
    Set user-defined property "SLEW =  SLOW" for instance  in unit .
4680
Entity  analyzed. Unit  generated.
4681
 
4682
Analyzing generic Entity  in library  (Architecture ).
4683
        haddr = 2304
4684
        hindex = 2
4685
        hmask = 3840
4686
        irq = 0
4687
        kbytes = 0
4688
        ncpu = 1
4689
        tbits = 30
4690
        tech = 11
4691
Entity  analyzed. Unit  generated.
4692
 
4693
Analyzing generic Entity  in library  (Architecture ).
4694
        clk2x = 0
4695
        haddr = 2304
4696
        hindex = 2
4697
        hmask = 3840
4698
        irq = 0
4699
        kbytes = 0
4700
        ncpu = 1
4701
        tbits = 30
4702
        tech = 11
4703
WARNING:Xst:819 - "../../lib/gaisler/leon3/dsu3x.vhd" line 164: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4704
   , , , , , , , , , , , , , , , , , , , , , , , 
4705
Entity  analyzed. Unit  generated.
4706
 
4707
Analyzing generic Entity  in library  (Architecture ).
4708
        level = 0
4709
        slew = 0
4710
        strength = 12
4711
        tech = 11
4712
        voltage = 3
4713
Entity  analyzed. Unit  generated.
4714
 
4715
Analyzing generic Entity  in library  (Architecture ).
4716
        level = 0
4717
        slew = 0
4718
        strength = 12
4719
        voltage = 3
4720
WARNING:Xst:2211 - "../../lib/techmap/unisim/pads_unisim.vhd" line 178: Instantiating black box module .
4721
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
4722
    Set user-defined property "DRIVE =  12" for instance  in unit .
4723
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
4724
    Set user-defined property "SLEW =  SLOW" for instance  in unit .
4725
Entity  analyzed. Unit  generated.
4726
 
4727
Analyzing generic Entity  in library  (Architecture ).
4728
        hindex = 1
4729
        paddr = 7
4730
        pindex = 7
4731
        pmask = 4095
4732
Entity  analyzed. Unit  generated.
4733
 
4734
Analyzing generic Entity  in library  (Architecture ).
4735
        chprot = 3
4736
        devid = 7
4737
        hindex = 1
4738
        hirq = 0
4739
        incaddr = 0
4740
        venid = 1
4741
        version = 0
4742
Entity  analyzed. Unit  generated.
4743
 
4744
Analyzing generic Entity  in library  (Architecture ).
4745
        paddr = 7
4746
        pindex = 7
4747
        pmask = 4095
4748
Entity  analyzed. Unit  generated.
4749
 
4750
Analyzing Entity  in library  (Architecture ).
4751
Entity  analyzed. Unit  generated.
4752
 
4753
Analyzing generic Entity  in library  (Architecture ).
4754
        ainst = 2
4755
        dinst = 3
4756
        hindex = 2
4757
        idcode = 9
4758
        manf = 804
4759
        nsync = 1
4760
        part = 0
4761
        scantest = 0
4762
        tech = 11
4763
        ver = 0
4764
Entity  analyzed. Unit  generated.
4765
 
4766
Analyzing generic Entity  in library  (Architecture ).
4767
        chprot = 3
4768
        devid = 28
4769
        hindex = 2
4770
        hirq = 0
4771
        incaddr = 0
4772
        venid = 1
4773
        version = 0
4774
Entity  analyzed. Unit  generated.
4775
 
4776
Analyzing generic Entity  in library  (Architecture ).
4777
        idcode = 9
4778
        irlen = 6
4779
        manf = 804
4780
        part = 0
4781
        scantest = 0
4782
        tech = 11
4783
        trsten = 1
4784
        ver = 0
4785
Entity  analyzed. Unit  generated.
4786
 
4787
Analyzing Entity  in library  (Architecture ).
4788
WARNING:Xst:2211 - "../../lib/techmap/unisim/tap_unisim.vhd" line 186: Instantiating black box module .
4789
    Set user-defined property "dont_touch =  TRUE" for instance  in unit .
4790
Entity  analyzed. Unit  generated.
4791
 
4792
Analyzing generic Entity  in library  (Architecture ).
4793
        ainst = 2
4794
        dinst = 3
4795
        isel = 1
4796
        nsync = 1
4797
Entity  analyzed. Unit  generated.
4798
 
4799
Analyzing generic Entity  in library  (Architecture ).
4800
        fast = 0
4801
        hindex = 0
4802
        invclk = 0
4803
        ioaddr = 512
4804
        iomask = 3584
4805
        mobile = 0
4806
        oepol = 0
4807
        paddr = 0
4808
        pageburst = 1
4809
        pindex = 0
4810
        pmask = 4095
4811
        ram16 = 0
4812
        ram8 = 1
4813
        ramaddr = 1024
4814
        rammask = 3072
4815
        romaddr = 0
4816
        romasel = 28
4817
        rommask = 3584
4818
        scantest = 0
4819
        sdbits = 32
4820
        sden = 1
4821
        sdlsb = 2
4822
        sdrasel = 29
4823
        sepbus = 0
4824
        srbanks = 2
4825
        syncrst = 0
4826
        wprot = 0
4827
    Set property "syn_preserve = TRUE" for signal .
4828
    Set property "syn_preserve = TRUE" for signal .
4829
    Set property "syn_preserve = TRUE" for signal .
4830
INFO:Xst:1561 - "../../lib/esa/memoryctrl/mctrl.vhd" line 724: Mux is complete : default of case is discarded
4831
WARNING:Xst:819 - "../../lib/esa/memoryctrl/mctrl.vhd" line 207: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4832
   , , , , , , , , , , , , , , , , 
4833
Entity  analyzed. Unit  generated.
4834
 
4835
Analyzing generic Entity  in library  (Architecture ).
4836
        fast = 0
4837
        invclk = 0
4838
        mobile = 0
4839
        pageburst = 1
4840
        pindex = 0
4841
        sdbits = 32
4842
        wprot = 0
4843
WARNING:Xst:819 - "../../lib/gaisler/memctrl/sdmctrl.vhd" line 131: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4844
   , , , , , , , , , , , , , , , 
4845
INFO:Xst:2679 - Register  in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
4846
Entity  analyzed. Unit  generated.
4847
 
4848
Analyzing generic Entity  in library  (Architecture ).
4849
        level = 0
4850
        slew = 0
4851
        strength = 12
4852
        tech = 11
4853
        voltage = 3
4854
        width = 4
4855
Entity  analyzed. Unit  generated.
4856
 
4857
Analyzing generic Entity  in library  (Architecture ).
4858
        level = 0
4859
        slew = 0
4860
        strength = 12
4861
        tech = 11
4862
        voltage = 3
4863
Entity  analyzed. Unit  generated.
4864
 
4865
Analyzing generic Entity  in library  (Architecture ).
4866
        level = 0
4867
        slew = 0
4868
        strength = 12
4869
        tech = 11
4870
        voltage = 3
4871
        width = 2
4872
Entity  analyzed. Unit  generated.
4873
 
4874
Analyzing generic Entity  in library  (Architecture ).
4875
        level = 0
4876
        slew = 0
4877
        strength = 12
4878
        tech = 11
4879
        voltage = 3
4880
        width = 28
4881
Entity  analyzed. Unit  generated.
4882
 
4883
Analyzing generic Entity  in library  (Architecture ).
4884
        level = 0
4885
        slew = 0
4886
        strength = 12
4887
        tech = 11
4888
        voltage = 3
4889
        width = 5
4890
Entity  analyzed. Unit  generated.
4891
 
4892
Analyzing generic Entity  in library  (Architecture ).
4893
        level = 0
4894
        oepol = 0
4895
        slew = 0
4896
        strength = 12
4897
        tech = 11
4898
        voltage = 3
4899
        width = 8
4900
Entity  analyzed. Unit  generated.
4901
 
4902
Analyzing generic Entity  in library  (Architecture ).
4903
        level = 0
4904
        oepol = 0
4905
        slew = 0
4906
        strength = 12
4907
        tech = 11
4908
        voltage = 3
4909
Entity  analyzed. Unit  generated.
4910
 
4911
Analyzing generic Entity  in library  (Architecture ).
4912
        level = 0
4913
        slew = 0
4914
        strength = 12
4915
        voltage = 3
4916
WARNING:Xst:2211 - "../../lib/techmap/unisim/pads_unisim.vhd" line 106: Instantiating black box module .
4917
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
4918
    Set user-defined property "DRIVE =  12" for instance  in unit .
4919
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
4920
    Set user-defined property "SLEW =  SLOW" for instance  in unit .
4921
Entity  analyzed. Unit  generated.
4922
 
4923
Analyzing generic Entity  in library  (Architecture ).
4924
        asserterr = 0
4925
        assertwarn = 0
4926
        debug = 2
4927
        enbusmon = 0
4928
        haddr = 2048
4929
        hindex = 1
4930
        hmask = 4095
4931
        icheck = 1
4932
        nslaves = 16
4933
        pslvdisable = 0
4934
WARNING:Xst:819 - "../../lib/grlib/amba/apbctrl.vhd" line 91: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4935
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , 
4936
Entity  analyzed. Unit  generated.
4937
 
4938
Analyzing generic Entity  in library  (Architecture ).
4939
        abits = 8
4940
        console = 0
4941
        fifosize = 4
4942
        flow = 1
4943
        paddr = 1
4944
        parity = 1
4945
        pindex = 1
4946
        pirq = 2
4947
        pmask = 4095
4948
Entity  analyzed. Unit  generated.
4949
 
4950
Analyzing generic Entity  in library  (Architecture ).
4951
        eirq = 0
4952
        ncpu = 1
4953
        paddr = 2
4954
        pindex = 2
4955
        pmask = 4095
4956
WARNING:Xst:819 - "../../lib/gaisler/leon3/irqmp.vhd" line 101: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4957
   , , 
4958
Entity  analyzed. Unit  generated.
4959
 
4960
Analyzing generic Entity  in library  (Architecture ).
4961
        nbits = 32
4962
        ntimers = 2
4963
        paddr = 3
4964
        pindex = 3
4965
        pirq = 8
4966
        pmask = 4095
4967
        sbits = 8
4968
        sepirq = 1
4969
        wdog = 0
4970
WARNING:Xst:819 - "../../lib/gaisler/misc/gptimer.vhd" line 100: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
4971
   , , , , , , , , , , , , , , , , , 
4972
Entity  analyzed. Unit  generated.
4973
 
4974
Analyzing generic Entity  in library  (Architecture ).
4975
        level = 0
4976
        oepol = 0
4977
        slew = 0
4978
        strength = 12
4979
        tech = 11
4980
        voltage = 3
4981
Entity  analyzed. Unit  generated.
4982
 
4983
Analyzing generic Entity  in library  (Architecture ).
4984
        level = 0
4985
        slew = 0
4986
        strength = 12
4987
        tech = 11
4988
        voltage = 3
4989
        width = 8
4990
Entity  analyzed. Unit  generated.
4991
 
4992
Analyzing generic Entity  in library  (Architecture ).
4993
        bpdir = 0
4994
        bypass = 0
4995
        imask = 0
4996
        nbits = 18
4997
        oepol = 0
4998
        paddr = 8
4999
        pindex = 8
5000
        pmask = 4095
5001
        scantest = 0
5002
        syncrst = 0
5003
Entity  analyzed. Unit  generated.
5004
 
5005
 
5006
=========================================================================
5007
*                           HDL Synthesis                               *
5008
=========================================================================
5009
 
5010
Performing bidirectional port resolution...
5011
INFO:Xst:2679 - Register  in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
5012
 
5013
Synthesizing Unit .
5014
    Related source file is "../../lib/gaisler/misc/rstgen.vhd".
5015
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5016
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5017
    Found 5-bit register for signal .
5018
    Found 1-bit register for signal .
5019
    Summary:
5020
        inferred   6 D-type flip-flop(s).
5021
Unit  synthesized.
5022
 
5023
 
5024
Synthesizing Unit .
5025
    Related source file is "../../lib/grlib/amba/ahbctrl.vhd".
5026
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5027
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5028
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5029
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5030
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5031
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5032
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5033
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5034
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5035
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5036
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5037
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5038
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5039
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5040
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5041
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5042
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5043
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5044
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5045
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5046
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5047
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5048
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5049
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5050
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5051
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5052
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5053
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5054
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5055
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5056
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5057
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5058
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5059
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5060
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5061
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5062
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5063
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5064
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5065
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5066
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5067
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5068
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5069
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5070
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5071
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5072
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5073
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5074
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5075
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5076
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5077
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5078
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5079
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5080
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5081
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5082
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5083
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5084
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5085
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5086
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5087
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5088
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5089
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5090
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5091
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5092
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5093
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5094
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5095
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5096
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5097
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5098
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5099
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5100
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5101
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5102
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5103
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5104
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5105
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5106
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5107
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5108
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5109
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5110
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5111
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5112
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5113
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5114
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5115
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5116
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5117
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5118
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5119
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5120
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5121
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5122
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5123
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5124
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5125
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5126
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5127
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5128
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5129
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5130
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5131
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5132
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5133
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5134
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5135
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5136
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5137
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5138
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5139
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5140
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5141
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5142
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5143
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5144
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5145
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5146
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5147
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5148
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5149
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5150
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5151
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5152
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5153
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5154
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5155
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5156
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5157
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5158
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5159
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5160
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5161
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5162
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5163
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5164
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5165
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5166
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5167
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5168
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5169
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5170
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5171
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5172
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5173
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5174
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5175
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5176
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5177
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5178
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5179
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5180
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5181
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5182
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5183
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5184
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5185
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5186
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5187
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5188
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5189
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5190
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5191
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5192
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5193
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5194
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5195
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5196
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5197
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5198
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5199
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5200
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5201
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5202
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5203
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5204
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5205
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5206
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5207
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5208
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5209
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5210
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5211
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5212
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5213
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5214
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5215
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5216
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5217
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5218
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5219
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5220
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5221
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5222
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5223
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5224
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5225
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5226
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5227
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5228
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5229
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5230
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5231
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5232
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5233
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5234
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5235
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5236
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5237
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5238
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5239
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5240
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5241
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5242
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5243
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5244
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5245
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5246
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5247
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5248
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5249
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5250
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5251
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5252
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5253
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5254
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5255
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5256
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5257
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5258
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5259
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5260
    Found 16x3-bit ROM for signal  created at line 160.
5261
    Found 16x3-bit ROM for signal  created at line 161.
5262
    Found 3-bit 4-to-1 multiplexer for signal .
5263
    Found 1-bit 4-to-1 multiplexer for signal .
5264
    Found 4-bit 4-to-1 multiplexer for signal .
5265
    Found 32-bit 4-to-1 multiplexer for signal .
5266
    Found 32-bit 4-to-1 multiplexer for signal .
5267
    Found 2-bit 4-to-1 multiplexer for signal <$mux0000> created at line 374.
5268
    Found 3-bit 4-to-1 multiplexer for signal <$mux0001> created at line 377.
5269
    Found 32-bit 8-to-1 multiplexer for signal <$varindex0000> created at line 495.
5270
    Found 1-bit 4-to-1 multiplexer for signal  created at line 379.
5271
    Found 32-bit 4-to-1 multiplexer for signal  created at line 365.
5272
    Found 12-bit comparator equal for signal  created at line 421.
5273
    Found 12-bit comparator equal for signal  created at line 421.
5274
    Found 12-bit comparator equal for signal  created at line 421.
5275
    Found 12-bit comparator equal for signal  created at line 421.
5276
    Found 12-bit comparator equal for signal  created at line 421.
5277
    Found 12-bit comparator equal for signal  created at line 421.
5278
    Found 12-bit comparator equal for signal  created at line 421.
5279
    Found 12-bit comparator equal for signal  created at line 421.
5280
    Found 12-bit comparator equal for signal  created at line 421.
5281
    Found 12-bit comparator equal for signal  created at line 421.
5282
    Found 12-bit comparator equal for signal  created at line 421.
5283
    Found 12-bit comparator equal for signal  created at line 421.
5284
    Found 12-bit comparator equal for signal  created at line 421.
5285
    Found 12-bit comparator equal for signal  created at line 421.
5286
    Found 12-bit comparator equal for signal  created at line 421.
5287
    Found 12-bit comparator equal for signal  created at line 421.
5288
    Found 12-bit comparator equal for signal  created at line 421.
5289
    Found 12-bit comparator equal for signal  created at line 421.
5290
    Found 12-bit comparator equal for signal  created at line 421.
5291
    Found 12-bit comparator equal for signal  created at line 421.
5292
    Found 12-bit comparator equal for signal  created at line 421.
5293
    Found 12-bit comparator equal for signal  created at line 421.
5294
    Found 12-bit comparator equal for signal  created at line 421.
5295
    Found 12-bit comparator equal for signal  created at line 421.
5296
    Found 12-bit comparator equal for signal  created at line 421.
5297
    Found 12-bit comparator equal for signal  created at line 421.
5298
    Found 12-bit comparator equal for signal  created at line 421.
5299
    Found 12-bit comparator equal for signal  created at line 421.
5300
    Found 12-bit comparator equal for signal  created at line 421.
5301
    Found 12-bit comparator equal for signal  created at line 421.
5302
    Found 12-bit comparator equal for signal  created at line 421.
5303
    Found 12-bit comparator equal for signal  created at line 421.
5304
    Found 32-bit 8-to-1 multiplexer for signal .
5305
    Found 1-bit register for signal .
5306
    Found 1-bit register for signal .
5307
    Found 1-bit register for signal .
5308
    Found 14-bit register for signal .
5309
    Found 2-bit register for signal .
5310
    Found 2-bit register for signal .
5311
    Found 1-bit register for signal .
5312
    Found 1-bit register for signal .
5313
    Found 32-bit register for signal .
5314
    Found 32-bit register for signal .
5315
    Found 1-bit register for signal .
5316
    Found 3-bit register for signal .
5317
    Found 2-bit register for signal .
5318
    Found 2-bit comparator greatequal for signal  created at line 274.
5319
    Found 2-bit comparator greatequal for signal  created at line 274.
5320
    Found 2-bit comparator greatequal for signal  created at line 274.
5321
    Found 1-bit 4-to-1 multiplexer for signal  created at line 557.
5322
    Found 32-bit 4-to-1 multiplexer for signal > created at line 486.
5323
    Found 256-bit 8-to-1 multiplexer for signal > created at line 495.
5324
    Summary:
5325
        inferred   2 ROM(s).
5326
        inferred  93 D-type flip-flop(s).
5327
        inferred  35 Comparator(s).
5328
        inferred 463 Multiplexer(s).
5329
Unit  synthesized.
5330
 
5331
 
5332
Synthesizing Unit .
5333
    Related source file is "../../lib/grlib/amba/apbctrl.vhd".
5334
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5335
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5336
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5337
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5338
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5339
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5340
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5341
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5342
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5343
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5344
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5345
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5346
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5347
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5348
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5349
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5350
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5351
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5352
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5353
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5354
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5355
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5356
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5357
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5358
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5359
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5360
    Using one-hot encoding for signal .
5361
    Found 12-bit comparator equal for signal  created at line 130.
5362
    Found 12-bit comparator equal for signal  created at line 130.
5363
    Found 12-bit comparator equal for signal  created at line 130.
5364
    Found 12-bit comparator equal for signal  created at line 130.
5365
    Found 12-bit comparator equal for signal  created at line 130.
5366
    Found 12-bit comparator equal for signal  created at line 130.
5367
    Found 12-bit comparator equal for signal  created at line 130.
5368
    Found 12-bit comparator equal for signal  created at line 130.
5369
    Found 12-bit comparator equal for signal  created at line 130.
5370
    Found 12-bit comparator equal for signal  created at line 130.
5371
    Found 12-bit comparator equal for signal  created at line 130.
5372
    Found 12-bit comparator equal for signal  created at line 130.
5373
    Found 1-bit register for signal .
5374
    Found 20-bit register for signal .
5375
    Found 1-bit register for signal .
5376
    Found 1-bit register for signal .
5377
    Found 1-bit register for signal .
5378
    Found 32-bit register for signal .
5379
    Found 1-bit register for signal .
5380
    Found 32-bit register for signal .
5381
    Found 3-bit register for signal .
5382
    Found 64-bit 16-to-1 multiplexer for signal > created at line 153.
5383
    Summary:
5384
        inferred  92 D-type flip-flop(s).
5385
        inferred  12 Comparator(s).
5386
        inferred  64 Multiplexer(s).
5387
Unit  synthesized.
5388
 
5389
 
5390
Synthesizing Unit .
5391
    Related source file is "../../lib/gaisler/uart/apbuart.vhd".
5392
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5393
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5394
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5395
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5396
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5397
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5398
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5399
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5400
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5401
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5402
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5403
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 321 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
5404
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
5405
        - use the attribute 'signal_encoding user' to avoid onehot optimization
5406
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
5407
    Using one-hot encoding for signal .
5408
    Using one-hot encoding for signal .
5409
    Found 12-bit register for signal .
5410
    Found 1-bit register for signal .
5411
    Found 2-bit register for signal .
5412
    Found 1-bit register for signal .
5413
    Found 1-bit register for signal .
5414
    Found 1-bit xor2 for signal  created at line 420.
5415
    Found 1-bit register for signal .
5416
    Found 1-bit register for signal .
5417
    Found 1-bit register for signal .
5418
    Found 1-bit register for signal .
5419
    Found 1-bit register for signal .
5420
    Found 1-bit register for signal .
5421
    Found 1-bit register for signal .
5422
    Found 1-bit register for signal .
5423
    Found 1-bit register for signal .
5424
    Found 1-bit register for signal .
5425
    Found 1-bit register for signal .
5426
    Found 3-bit register for signal .
5427
    Found 1-bit register for signal .
5428
    Found 32-bit register for signal .
5429
    Found 1-bit register for signal .
5430
    Found 2-bit up counter for signal .
5431
    Found 1-bit register for signal .
5432
    Found 8-bit register for signal .
5433
    Found 1-bit register for signal .
5434
    Found 2-bit register for signal .
5435
    Found 3-bit register for signal .
5436
    Found 2-bit register for signal .
5437
    Found 1-bit register for signal .
5438
    Found 5-bit register for signal .
5439
    Found 5-bit register for signal .
5440
    Found 1-bit register for signal .
5441
    Found 12-bit register for signal .
5442
    Found 3-bit register for signal .
5443
    Found 1-bit register for signal .
5444
    Found 32-bit register for signal .
5445
    Found 1-bit register for signal .
5446
    Found 1-bit register for signal .
5447
    Found 1-bit register for signal .
5448
    Found 1-bit xor2 for signal  created at line 341.
5449
    Found 2-bit register for signal .
5450
    Found 1-bit register for signal .
5451
    Found 11-bit register for signal .
5452
    Found 2-bit up counter for signal .
5453
    Found 3-bit register for signal .
5454
    Found 1-bit register for signal .
5455
    Found 1-bit register for signal .
5456
    Found 4-bit register for signal .
5457
    Found 1-bit register for signal .
5458
    Found 8-bit 4-to-1 multiplexer for signal  created at line 190.
5459
    Found 8-bit 4-to-1 multiplexer for signal  created at line 226.
5460
    Found 3-bit adder for signal  created at line 233.
5461
    Found 12-bit subtractor for signal  created at line 273.
5462
    Found 3-bit adder for signal  created at line 233.
5463
    Found 3-bit adder for signal  created at line 233.
5464
    Found 3-bit subtractor for signal  created at line 273.
5465
    Found 3-bit adder for signal  created at line 233.
5466
    Found 2-bit adder for signal  created at line 233.
5467
    Found 3-bit adder for signal  created at line 233.
5468
    Found 3-bit subtractor for signal  created at line 273.
5469
    Found 2-bit adder for signal  created at line 233.
5470
    Summary:
5471
        inferred   2 Counter(s).
5472
        inferred 169 D-type flip-flop(s).
5473
        inferred  10 Adder/Subtractor(s).
5474
        inferred  16 Multiplexer(s).
5475
Unit  synthesized.
5476
 
5477
 
5478
Synthesizing Unit .
5479
    Related source file is "../../lib/gaisler/leon3/irqmp.vhd".
5480
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5481
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5482
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5483
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5484
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5485
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5486
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5487
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5488
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5489
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5490
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5491
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5492
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5493
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5494
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5495
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5496
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5497
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5498
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5499
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5500
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5501
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5502
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5503
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5504
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5505
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5506
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5507
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5508
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5509
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5510
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5511
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5512
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5513
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5514
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5515
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5516
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5517
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5518
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5519
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5520
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5521
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5522
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5523
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5524
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
5525
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
5526
    Found 1-bit register for signal >.
5527
    Found 15-bit register for signal >.
5528
    Found 15-bit register for signal .
5529
    Found 15-bit register for signal >.
5530
    Found 15-bit register for signal .
5531
    Found 4-bit register for signal >.
5532
    Summary:
5533
        inferred  65 D-type flip-flop(s).
5534
        inferred  31 Multiplexer(s).
5535
Unit  synthesized.
5536
 
5537
 
5538
Synthesizing Unit .
5539
    Related source file is "../../lib/gaisler/misc/gptimer.vhd".
5540
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5541
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5542
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5543
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5544
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5545
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5546
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5547
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5548
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5549
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5550
    Found 32-bit 1-of-6 priority encoder for internal node.
5551
    Found 32-bit 1-of-6 priority encoder for internal node.
5552
    Found 1-bit register for signal .
5553
    Found 8-bit register for signal .
5554
    Found 8-bit register for signal .
5555
    Found 1-bit register for signal .
5556
    Found 1-bit register for signal .
5557
    Found 1-bit register for signal .
5558
    Found 1-bit 4-to-1 multiplexer for signal .
5559
    Found 1-bit 4-to-1 multiplexer for signal .
5560
    Found 1-bit 4-to-1 multiplexer for signal  created at line 220.
5561
    Found 1-bit register for signal .
5562
    Found 1-bit register for signal .
5563
    Found 1-bit register for signal .
5564
    Found 1-bit 4-to-1 multiplexer for signal .
5565
    Found 1-bit 4-to-1 multiplexer for signal .
5566
    Found 1-bit 4-to-1 multiplexer for signal  created at line 220.
5567
    Found 1-bit register for signal .
5568
    Found 32-bit register for signal .
5569
    Found 1-bit register for signal .
5570
    Found 32-bit register for signal .
5571
    Found 32-bit 4-to-1 multiplexer for signal .
5572
    Found 1-bit register for signal .
5573
    Found 1-bit register for signal .
5574
    Found 1-bit 4-to-1 multiplexer for signal .
5575
    Found 1-bit 4-to-1 multiplexer for signal .
5576
    Found 1-bit 4-to-1 multiplexer for signal  created at line 220.
5577
    Found 1-bit register for signal .
5578
    Found 1-bit register for signal .
5579
    Found 1-bit register for signal .
5580
    Found 1-bit 4-to-1 multiplexer for signal .
5581
    Found 1-bit 4-to-1 multiplexer for signal .
5582
    Found 1-bit 4-to-1 multiplexer for signal  created at line 220.
5583
    Found 1-bit register for signal .
5584
    Found 32-bit register for signal .
5585
    Found 1-bit register for signal .
5586
    Found 32-bit register for signal .
5587
    Found 32-bit 4-to-1 multiplexer for signal .
5588
    Found 2-bit up counter for signal .
5589
    Found 1-bit register for signal .
5590
    Found 1-bit register for signal .
5591
    Found 1-bit 4-to-1 multiplexer for signal  created at line 196.
5592
    Found 1-bit 4-to-1 multiplexer for signal  created at line 196.
5593
    Found 1-bit 4-to-1 multiplexer for signal  created at line 196.
5594
    Found 1-bit 4-to-1 multiplexer for signal  created at line 196.
5595
    Found 1-bit 4-to-1 multiplexer for signal  created at line 196.
5596
    Found 1-bit 4-to-1 multiplexer for signal  created at line 196.
5597
    Found 1-bit 4-to-1 multiplexer for signal  created at line 196.
5598
    Found 32-bit 3-to-1 multiplexer for signal  created at line 139.
5599
    Found 32-bit subtractor for signal  created at line 273.
5600
    Found 1-bit 4-to-1 multiplexer for signal .
5601
    Found 1-bit 4-to-1 multiplexer for signal .
5602
    Found 9-bit subtractor for signal  created at line 273.
5603
    Summary:
5604
        inferred   1 Counter(s).
5605
        inferred 162 D-type flip-flop(s).
5606
        inferred   2 Adder/Subtractor(s).
5607
        inferred 117 Multiplexer(s).
5608
        inferred  64 Priority encoder(s).
5609
Unit  synthesized.
5610
 
5611
 
5612
Synthesizing Unit .
5613
    Related source file is "../../lib/gaisler/misc/grgpio.vhd".
5614
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5615
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5616
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5617
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5618
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5619
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5620
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5621
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5622
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5623
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5624
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5625
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5626
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5627
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5628
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5629
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5630
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5631
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5632
    Found 18-bit register for signal .
5633
    Found 18-bit register for signal .
5634
    Found 18-bit register for signal .
5635
    Found 18-bit register for signal .
5636
    Summary:
5637
        inferred  72 D-type flip-flop(s).
5638
Unit  synthesized.
5639
 
5640
 
5641
Synthesizing Unit .
5642
    Related source file is "../../lib/gaisler/arith/mul32.vhd".
5643
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5644
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5645
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5646
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5647
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5648
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5649
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5650
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5651
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5652
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5653
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5654
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5655
    Using one-hot encoding for signal .
5656
    Found 66-bit register for signal .
5657
    Found 33x33-bit multiplier for signal  created at line 111.
5658
    Found 64-bit register for signal .
5659
    Found 1-bit register for signal .
5660
    Found 1-bit register for signal .
5661
    Found 2-bit register for signal .
5662
    Summary:
5663
        inferred 134 D-type flip-flop(s).
5664
        inferred   1 Multiplier(s).
5665
Unit  synthesized.
5666
 
5667
 
5668
Synthesizing Unit .
5669
    Related source file is "../../lib/gaisler/arith/div32.vhd".
5670
    Using one-hot encoding for signal .
5671
    Found 33-bit adder for signal .
5672
    Found 33-bit adder for signal  created at line 209.
5673
    Found 5-bit register for signal .
5674
    Found 1-bit register for signal .
5675
    Found 1-bit xor2 for signal  created at line 94.
5676
    Found 1-bit register for signal .
5677
    Found 1-bit register for signal .
5678
    Found 1-bit xor2 for signal  created at line 117.
5679
    Found 1-bit register for signal .
5680
    Found 1-bit register for signal .
5681
    Found 1-bit xor2 for signal  created at line 111.
5682
    Found 6-bit register for signal .
5683
    Found 65-bit register for signal .
5684
    Found 1-bit register for signal .
5685
    Found 1-bit register for signal .
5686
    Found 1-bit register for signal .
5687
    Found 5-bit adder for signal  created at line 233.
5688
    Found 1-bit xor2 for signal  created at line 83.
5689
    Summary:
5690
        inferred  84 D-type flip-flop(s).
5691
        inferred   3 Adder/Subtractor(s).
5692
Unit  synthesized.
5693
 
5694
 
5695
Synthesizing Unit .
5696
    Related source file is "../../lib/gaisler/leon3/my_mux.vhd".
5697
    Found 32-bit 4-to-1 multiplexer for signal .
5698
    Summary:
5699
        inferred  32 Multiplexer(s).
5700
Unit  synthesized.
5701
 
5702
 
5703
Synthesizing Unit .
5704
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5705
    Found 32-bit register for signal .
5706
    Summary:
5707
        inferred  32 D-type flip-flop(s).
5708
Unit  synthesized.
5709
 
5710
 
5711
Synthesizing Unit .
5712
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5713
    Found 32-bit register for signal .
5714
    Summary:
5715
        inferred  32 D-type flip-flop(s).
5716
Unit  synthesized.
5717
 
5718
 
5719
Synthesizing Unit .
5720
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5721
    Found 32-bit register for signal .
5722
    Summary:
5723
        inferred  32 D-type flip-flop(s).
5724
Unit  synthesized.
5725
 
5726
 
5727
Synthesizing Unit .
5728
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5729
    Found 5-bit register for signal .
5730
    Summary:
5731
        inferred   5 D-type flip-flop(s).
5732
Unit  synthesized.
5733
 
5734
 
5735
Synthesizing Unit .
5736
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5737
Unit  synthesized.
5738
 
5739
 
5740
Synthesizing Unit .
5741
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5742
    Found 101-bit up counter for signal .
5743
    Found 101-bit up counter for signal .
5744
    Summary:
5745
        inferred   2 Counter(s).
5746
Unit  synthesized.
5747
 
5748
 
5749
Synthesizing Unit .
5750
    Related source file is "../../lib/gaisler/vlog/ctl_fsm1.v".
5751
    Using one-hot encoding for signal .
5752
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 70 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
5753
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
5754
        - use the attribute 'signal_encoding user' to avoid onehot optimization
5755
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
5756
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 103 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
5757
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
5758
        - use the attribute 'signal_encoding user' to avoid onehot optimization
5759
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
5760
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 103 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
5761
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
5762
        - use the attribute 'signal_encoding user' to avoid onehot optimization
5763
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
5764
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 103 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
5765
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
5766
        - use the attribute 'signal_encoding user' to avoid onehot optimization
5767
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
5768
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 103 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
5769
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
5770
        - use the attribute 'signal_encoding user' to avoid onehot optimization
5771
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
5772
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 103 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
5773
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
5774
        - use the attribute 'signal_encoding user' to avoid onehot optimization
5775
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
5776
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 103 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
5777
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
5778
        - use the attribute 'signal_encoding user' to avoid onehot optimization
5779
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
5780
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 103 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
5781
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
5782
        - use the attribute 'signal_encoding user' to avoid onehot optimization
5783
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
5784
    Found 8-bit register for signal .
5785
    Found 6-bit up counter for signal .
5786
    Found 1-bit register for signal .
5787
    Summary:
5788
        inferred   1 Counter(s).
5789
        inferred   9 D-type flip-flop(s).
5790
Unit  synthesized.
5791
 
5792
 
5793
Synthesizing Unit .
5794
    Related source file is "../../lib/gaisler/vlog/RF_components1.v".
5795
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5796
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
5797
    Found 32-bit adder carry out for signal .
5798
    Found 32-bit adder for signal  created at line 74.
5799
    Summary:
5800
        inferred   2 Adder/Subtractor(s).
5801
Unit  synthesized.
5802
 
5803
 
5804
Synthesizing Unit .
5805
    Related source file is "../../lib/gaisler/vlog/RF_components1.v".
5806
    Found 32-bit comparator equal for signal  created at line 47.
5807
    Found 32-bit comparator not equal for signal  created at line 48.
5808
    Summary:
5809
        inferred   2 Comparator(s).
5810
Unit  synthesized.
5811
 
5812
 
5813
Synthesizing Unit .
5814
    Related source file is "../../lib/gaisler/vlog/RF_components1.v".
5815
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5816
Unit  synthesized.
5817
 
5818
 
5819
Synthesizing Unit .
5820
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5821
    Found 32-bit register for signal .
5822
    Summary:
5823
        inferred  32 D-type flip-flop(s).
5824
Unit  synthesized.
5825
 
5826
 
5827
Synthesizing Unit .
5828
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5829
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5830
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5831
Unit  synthesized.
5832
 
5833
 
5834
Synthesizing Unit .
5835
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5836
    Found 10-bit 4-to-1 multiplexer for signal .
5837
    Summary:
5838
        inferred  10 Multiplexer(s).
5839
Unit  synthesized.
5840
 
5841
 
5842
Synthesizing Unit .
5843
    Related source file is "../../lib/gaisler/vlog/forward.v".
5844
Unit  synthesized.
5845
 
5846
 
5847
Synthesizing Unit .
5848
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5849
    Found 32-bit adder for signal .
5850
    Summary:
5851
        inferred   1 Adder/Subtractor(s).
5852
Unit  synthesized.
5853
 
5854
 
5855
Synthesizing Unit .
5856
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
5857
    Found 32-bit 4-to-1 multiplexer for signal .
5858
    Found 32-bit 4-to-1 multiplexer for signal  created at line 212.
5859
    Summary:
5860
        inferred  64 Multiplexer(s).
5861
Unit  synthesized.
5862
 
5863
 
5864
Synthesizing Unit .
5865
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
5866
    Found 32-bit 4-to-1 multiplexer for signal .
5867
    Found 32-bit 4-to-1 multiplexer for signal  created at line 232.
5868
    Summary:
5869
        inferred  64 Multiplexer(s).
5870
Unit  synthesized.
5871
 
5872
 
5873
Synthesizing Unit .
5874
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5875
    Found 32-bit register for signal .
5876
    Summary:
5877
        inferred  32 D-type flip-flop(s).
5878
Unit  synthesized.
5879
 
5880
 
5881
Synthesizing Unit .
5882
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
5883
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5884
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5885
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5886
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5887
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5888
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5889
    Found 32-bit 4-to-1 multiplexer for signal .
5890
    Found 1-bit register for signal .
5891
    Found 33-bit adder for signal <$add0000> created at line 678.
5892
    Found 33-bit adder for signal <$add0001> created at line 679.
5893
    Found 33-bit adder for signal <$add0002> created at line 693.
5894
    Found 33-bit adder for signal <$add0003> created at line 693.
5895
    Found 32-bit adder for signal <$add0004> created at line 703.
5896
    Found 1-bit 4-to-1 multiplexer for signal <$mux0000> created at line 677.
5897
    Found 1-bit 4-to-1 multiplexer for signal <$mux0001> created at line 677.
5898
    Found 1-bit 4-to-1 multiplexer for signal <$mux0002> created at line 677.
5899
    Found 1-bit 4-to-1 multiplexer for signal <$mux0003> created at line 677.
5900
    Found 1-bit 4-to-1 multiplexer for signal <$mux0004> created at line 677.
5901
    Found 1-bit 4-to-1 multiplexer for signal <$mux0005> created at line 677.
5902
    Found 1-bit 4-to-1 multiplexer for signal <$mux0006> created at line 677.
5903
    Found 1-bit 4-to-1 multiplexer for signal <$mux0007> created at line 677.
5904
    Found 1-bit 4-to-1 multiplexer for signal <$mux0008> created at line 677.
5905
    Found 1-bit 4-to-1 multiplexer for signal <$mux0009> created at line 677.
5906
    Found 1-bit 4-to-1 multiplexer for signal <$mux0010> created at line 677.
5907
    Found 1-bit 4-to-1 multiplexer for signal <$mux0011> created at line 677.
5908
    Found 1-bit 4-to-1 multiplexer for signal <$mux0012> created at line 677.
5909
    Found 1-bit 4-to-1 multiplexer for signal <$mux0013> created at line 677.
5910
    Found 1-bit 4-to-1 multiplexer for signal <$mux0014> created at line 677.
5911
    Found 1-bit 4-to-1 multiplexer for signal <$mux0015> created at line 677.
5912
    Found 1-bit 4-to-1 multiplexer for signal <$mux0016> created at line 677.
5913
    Found 1-bit 4-to-1 multiplexer for signal <$mux0017> created at line 677.
5914
    Found 1-bit 4-to-1 multiplexer for signal <$mux0018> created at line 677.
5915
    Found 1-bit 4-to-1 multiplexer for signal <$mux0019> created at line 677.
5916
    Found 1-bit 4-to-1 multiplexer for signal <$mux0020> created at line 677.
5917
    Found 1-bit 4-to-1 multiplexer for signal <$mux0021> created at line 677.
5918
    Found 1-bit 4-to-1 multiplexer for signal <$mux0022> created at line 677.
5919
    Found 1-bit 4-to-1 multiplexer for signal <$mux0023> created at line 677.
5920
    Found 1-bit 4-to-1 multiplexer for signal <$mux0024> created at line 677.
5921
    Found 1-bit 4-to-1 multiplexer for signal <$mux0025> created at line 677.
5922
    Found 1-bit 4-to-1 multiplexer for signal <$mux0026> created at line 677.
5923
    Found 1-bit 4-to-1 multiplexer for signal <$mux0027> created at line 677.
5924
    Found 1-bit 4-to-1 multiplexer for signal <$mux0028> created at line 677.
5925
    Found 1-bit 4-to-1 multiplexer for signal <$mux0029> created at line 677.
5926
    Found 1-bit 4-to-1 multiplexer for signal <$mux0030> created at line 677.
5927
    Found 1-bit 4-to-1 multiplexer for signal <$mux0031> created at line 677.
5928
    Found 1-bit 4-to-1 multiplexer for signal <$mux0032> created at line 677.
5929
    Found 1-bit register for signal .
5930
    Found 1-bit register for signal .
5931
    Found 1-bit register for signal .
5932
    Found 6-bit up counter for signal .
5933
    Found 1-bit register for signal .
5934
    Found 65-bit register for signal .
5935
    Found 1-bit xor2 for signal  created at line 695.
5936
    Found 1-bit register for signal .
5937
    Found 33-bit adder for signal .
5938
    Found 1-bit xor2 for signal  created at line 690.
5939
    Found 1-bit register for signal .
5940
    Found 1-bit xor2 for signal  created at line 731.
5941
    Found 1-bit xor2 for signal  created at line 730.
5942
    Found 33-bit register for signal .
5943
    Found 1-bit register for signal .
5944
    Found 1-bit register for signal .
5945
    Found 1-bit register for signal .
5946
    Found 1-bit register for signal .
5947
    Found 1-bit register for signal .
5948
    Summary:
5949
        inferred   1 Counter(s).
5950
        inferred 110 D-type flip-flop(s).
5951
        inferred   7 Adder/Subtractor(s).
5952
        inferred   2 Comparator(s).
5953
        inferred  65 Multiplexer(s).
5954
Unit  synthesized.
5955
 
5956
 
5957
Synthesizing Unit .
5958
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
5959
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5960
Unit  synthesized.
5961
 
5962
 
5963
Synthesizing Unit .
5964
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
5965
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5966
    Found 32-bit adder for signal .
5967
    Found 32-bit adder for signal  created at line 259.
5968
    Found 32-bit comparator less for signal  created at line 264.
5969
    Found 32-bit xor2 for signal  created at line 262.
5970
    Found 33-bit adder for signal  created at line 267.
5971
    Summary:
5972
        inferred   4 Adder/Subtractor(s).
5973
        inferred   1 Comparator(s).
5974
Unit  synthesized.
5975
 
5976
 
5977
Synthesizing Unit .
5978
    Related source file is "../../lib/gaisler/vlog/decode_pipe1.v".
5979
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5980
    Found 5-bit comparator equal for signal  created at line 58.
5981
    Found 5-bit comparator equal for signal  created at line 58.
5982
    Summary:
5983
        inferred   2 Comparator(s).
5984
Unit  synthesized.
5985
 
5986
 
5987
Synthesizing Unit .
5988
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5989
    Found 2-bit register for signal .
5990
    Summary:
5991
        inferred   2 D-type flip-flop(s).
5992
Unit  synthesized.
5993
 
5994
 
5995
Synthesizing Unit .
5996
    Related source file is "../../lib/gaisler/vlog/ulit.v".
5997
    Found 1-bit register for signal >.
5998
    Summary:
5999
        inferred   1 D-type flip-flop(s).
6000
Unit  synthesized.
6001
 
6002
 
6003
Synthesizing Unit .
6004
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6005
    Found 1-bit register for signal >.
6006
    Summary:
6007
        inferred   1 D-type flip-flop(s).
6008
Unit  synthesized.
6009
 
6010
 
6011
Synthesizing Unit .
6012
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6013
    Found 1-bit register for signal >.
6014
    Summary:
6015
        inferred   1 D-type flip-flop(s).
6016
Unit  synthesized.
6017
 
6018
 
6019
Synthesizing Unit .
6020
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6021
    Found 1-bit register for signal >.
6022
    Summary:
6023
        inferred   1 D-type flip-flop(s).
6024
Unit  synthesized.
6025
 
6026
 
6027
Synthesizing Unit .
6028
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6029
    Found 2-bit register for signal .
6030
    Summary:
6031
        inferred   2 D-type flip-flop(s).
6032
Unit  synthesized.
6033
 
6034
 
6035
Synthesizing Unit .
6036
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6037
    Found 5-bit register for signal .
6038
    Summary:
6039
        inferred   5 D-type flip-flop(s).
6040
Unit  synthesized.
6041
 
6042
 
6043
Synthesizing Unit .
6044
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6045
    Found 5-bit register for signal .
6046
    Summary:
6047
        inferred   5 D-type flip-flop(s).
6048
Unit  synthesized.
6049
 
6050
 
6051
Synthesizing Unit .
6052
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6053
    Found 2-bit register for signal .
6054
    Summary:
6055
        inferred   2 D-type flip-flop(s).
6056
Unit  synthesized.
6057
 
6058
 
6059
Synthesizing Unit .
6060
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6061
    Found 1-bit register for signal >.
6062
    Summary:
6063
        inferred   1 D-type flip-flop(s).
6064
Unit  synthesized.
6065
 
6066
 
6067
Synthesizing Unit .
6068
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6069
    Found 1-bit register for signal >.
6070
    Summary:
6071
        inferred   1 D-type flip-flop(s).
6072
Unit  synthesized.
6073
 
6074
 
6075
Synthesizing Unit .
6076
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6077
    Found 3-bit register for signal .
6078
    Summary:
6079
        inferred   3 D-type flip-flop(s).
6080
Unit  synthesized.
6081
 
6082
 
6083
Synthesizing Unit .
6084
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6085
    Found 1-bit register for signal >.
6086
    Summary:
6087
        inferred   1 D-type flip-flop(s).
6088
Unit  synthesized.
6089
 
6090
 
6091
Synthesizing Unit .
6092
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6093
    Found 5-bit register for signal .
6094
    Summary:
6095
        inferred   5 D-type flip-flop(s).
6096
Unit  synthesized.
6097
 
6098
 
6099
Synthesizing Unit .
6100
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6101
    Found 5-bit register for signal .
6102
    Summary:
6103
        inferred   5 D-type flip-flop(s).
6104
Unit  synthesized.
6105
 
6106
 
6107
Synthesizing Unit .
6108
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6109
    Found 3-bit register for signal .
6110
    Summary:
6111
        inferred   3 D-type flip-flop(s).
6112
Unit  synthesized.
6113
 
6114
 
6115
Synthesizing Unit .
6116
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6117
    Found 2-bit register for signal .
6118
    Summary:
6119
        inferred   2 D-type flip-flop(s).
6120
Unit  synthesized.
6121
 
6122
 
6123
Synthesizing Unit .
6124
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6125
    Found 1-bit register for signal >.
6126
    Summary:
6127
        inferred   1 D-type flip-flop(s).
6128
Unit  synthesized.
6129
 
6130
 
6131
Synthesizing Unit .
6132
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6133
    Found 2-bit register for signal .
6134
    Summary:
6135
        inferred   2 D-type flip-flop(s).
6136
Unit  synthesized.
6137
 
6138
 
6139
Synthesizing Unit .
6140
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6141
    Found 3-bit register for signal .
6142
    Summary:
6143
        inferred   3 D-type flip-flop(s).
6144
Unit  synthesized.
6145
 
6146
 
6147
Synthesizing Unit .
6148
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6149
    Found 5-bit register for signal .
6150
    Summary:
6151
        inferred   5 D-type flip-flop(s).
6152
Unit  synthesized.
6153
 
6154
 
6155
Synthesizing Unit .
6156
    Related source file is "../../lib/gaisler/vlog/forward.v".
6157
    Found 5-bit comparator equal for signal  created at line 33.
6158
    Found 5-bit comparator equal for signal  created at line 33.
6159
    Summary:
6160
        inferred   2 Comparator(s).
6161
Unit  synthesized.
6162
 
6163
 
6164
Synthesizing Unit .
6165
    Related source file is "../../lib/gaisler/vlog/forward.v".
6166
    Found 5-bit register for signal .
6167
    Summary:
6168
        inferred   5 D-type flip-flop(s).
6169
Unit  synthesized.
6170
 
6171
 
6172
Synthesizing Unit .
6173
    Related source file is "../../lib/gaisler/vlog/ulit.v".
6174
    Found 1-bit register for signal >.
6175
    Summary:
6176
        inferred   1 D-type flip-flop(s).
6177
Unit  synthesized.
6178
 
6179
 
6180
Synthesizing Unit .
6181
    Related source file is "../../lib/gaisler/leon3/icache.vhd".
6182
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6183
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6184
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6185
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6186
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6187
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6188
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6189
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6190
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6191
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6192
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6193
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6194
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6195
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6196
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6197
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6198
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6199
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6200
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6201
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6202
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6203
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6204
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6205
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6206
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6207
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6208
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6209
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6210
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6211
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6212
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6213
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6214
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6215
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6216
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6217
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6218
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6219
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6220
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6221
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6222
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6223
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6224
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6225
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6226
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6227
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6228
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6229
WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process.
6230
WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value 00.
6231
WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process.
6232
WARNING:Xst:1781 - Signal > is used but never assigned. Tied to default value.
6233
    Using one-hot encoding for signal .
6234
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 291 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
6235
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
6236
        - use the attribute 'signal_encoding user' to avoid onehot optimization
6237
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
6238
    Using one-hot encoding for signal .
6239
    Found 20-bit comparator equal for signal  created at line 261.
6240
    Found 20-bit comparator equal for signal  created at line 261.
6241
    Found 32-bit 4-to-1 multiplexer for signal  created at line 293.
6242
    Found 1-bit register for signal .
6243
    Found 1-bit register for signal .
6244
    Found 1-bit register for signal >.
6245
    Found 7-bit up counter for signal .
6246
    Found 1-bit register for signal .
6247
    Found 1-bit register for signal .
6248
    Found 1-bit register for signal .
6249
    Found 1-bit register for signal .
6250
    Found 1-bit register for signal .
6251
    Found 3-bit register for signal .
6252
    Found 1-bit register for signal .
6253
    Found 1-bit register for signal .
6254
    Found 1-bit register for signal .
6255
    Found 1-bit register for signal .
6256
    Found 1-bit register for signal >.
6257
    Found 1-bit register for signal >.
6258
    Found 1-bit register for signal .
6259
    Found 1-bit register for signal .
6260
    Found 8-bit register for signal .
6261
    Found 30-bit register for signal .
6262
    Found 128-bit register for signal .
6263
    Found 1-bit register for signal >.
6264
    Found 7-bit register for signal .
6265
    Found 1-bit register for signal .
6266
    Found 7-bit adder for signal  created at line 233.
6267
    Found 1-bit 4-to-1 multiplexer for signal  created at line 280.
6268
    Found 1-bit 8-to-1 multiplexer for signal  created at line 140.
6269
    Found 1-bit 8-to-1 multiplexer for signal  created at line 140.
6270
    Found 3-bit adder for signal  created at line 233.
6271
    Summary:
6272
        inferred   1 Counter(s).
6273
        inferred 194 D-type flip-flop(s).
6274
        inferred   2 Adder/Subtractor(s).
6275
        inferred   2 Comparator(s).
6276
        inferred  36 Multiplexer(s).
6277
Unit  synthesized.
6278
 
6279
 
6280
Synthesizing Unit .
6281
    Related source file is "../../lib/gaisler/leon3/dcache.vhd".
6282
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6283
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6284
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6285
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
6286
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6287
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6288
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6289
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6290
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6291
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6292
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6293
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6294
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6295
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6296
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6297
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6298
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6299
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6300
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6301
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6302
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6303
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6304
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6305
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
6306
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6307
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6308
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6309
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6310
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6311
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6312
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
6313
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6314
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6315
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6316
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6317
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6318
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6319
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6320
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6321
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6322
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6323
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6324
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6325
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6326
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6327
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6328
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6329
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6330
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6331
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6332
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6333
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6334
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6335
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6336
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6337
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6338
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6339
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6340
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6341
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6342
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6343
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6344
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6345
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6346
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6347
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6348
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6349
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6350
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6351
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6352
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6353
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6354
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6355
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6356
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6357
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6358
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6359
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6360
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6361
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6362
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6363
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6364
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6365
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6366
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6367
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6368
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6369
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6370
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6371
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6372
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6373
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6374
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6375
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6376
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6377
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6378
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6379
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6380
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6381
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6382
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6383
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6384
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6385
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6386
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6387
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6388
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6389
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6390
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6391
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6392
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6393
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6394
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6395
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6396
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6397
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6398
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6399
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6400
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6401
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6402
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6403
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6404
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6405
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6406
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6407
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6408
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6409
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6410
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6411
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6412
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6413
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6414
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6415
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6416
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6417
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6418
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6419
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6420
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6421
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6422
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6423
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6424
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6425
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6426
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6427
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6428
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6429
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6430
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6431
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6432
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6433
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6434
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6435
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6436
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6437
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6438
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6439
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6440
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6441
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6442
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6443
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6444
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6445
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6446
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6447
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6448
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6449
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6450
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6451
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6452
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6453
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6454
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6455
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6456
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6457
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6458
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6459
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6460
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6461
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6462
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6463
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6464
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6465
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6466
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6467
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6468
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6469
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6470
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6471
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6472
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6473
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6474
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6475
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6476
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6477
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6478
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6479
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6480
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6481
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6482
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6483
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6484
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6485
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6486
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6487
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6488
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6489
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6490
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6491
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6492
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6493
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6494
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6495
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6496
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6497
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6498
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6499
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6500
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6501
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6502
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6503
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6504
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6505
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6506
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6507
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6508
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6509
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6510
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6511
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6512
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6513
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6514
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6515
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6516
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6517
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6518
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6519
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6520
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6521
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6522
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6523
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6524
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6525
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6526
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6527
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6528
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6529
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6530
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6531
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6532
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6533
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6534
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6535
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6536
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6537
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6538
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6539
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6540
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6541
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6542
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6543
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6544
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6545
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6546
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6547
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6548
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6549
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6550
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6551
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6552
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6553
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6554
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6555
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6556
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6557
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6558
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6559
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6560
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6561
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6562
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6563
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6564
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6565
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6566
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6567
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6568
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6569
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6570
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6571
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6572
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6573
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6574
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6575
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6576
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6577
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6578
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6579
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6580
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6581
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6582
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6583
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6584
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6585
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6586
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6587
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6588
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6589
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6590
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6591
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6592
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6593
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6594
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6595
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6596
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6597
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6598
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6599
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6600
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6601
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6602
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6603
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6604
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6605
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6606
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6607
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6608
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6609
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6610
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6611
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6612
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6613
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6614
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6615
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6616
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6617
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6618
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6619
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6620
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6621
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6622
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6623
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6624
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6625
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6626
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6627
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6628
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6629
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6630
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6631
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6632
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6633
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6634
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6635
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6636
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6637
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6638
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6639
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6640
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6641
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6642
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6643
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6644
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6645
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6646
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6647
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6648
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6649
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6650
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6651
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6652
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6653
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6654
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6655
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6656
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6657
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6658
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6659
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6660
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6661
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6662
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6663
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6664
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6665
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6666
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6667
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6668
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6669
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6670
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6671
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6672
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6673
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6674
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6675
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6676
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6677
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6678
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6679
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6680
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6681
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6682
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6683
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6684
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6685
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6686
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6687
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6688
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6689
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6690
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6691
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6692
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6693
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6694
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6695
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6696
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6697
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6698
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6699
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6700
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6701
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6702
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6703
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6704
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6705
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6706
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6707
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6708
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6709
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6710
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6711
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6712
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6713
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6714
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6715
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6716
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6717
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6718
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6719
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6720
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6721
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6722
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6723
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6724
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6725
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6726
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6727
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6728
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6729
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6730
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6731
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6732
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6733
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6734
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6735
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6736
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6737
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6738
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6739
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6740
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6741
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6742
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6743
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6744
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6745
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6746
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6747
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6748
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6749
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6750
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6751
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6752
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6753
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6754
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6755
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6756
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6757
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6758
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6759
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6760
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6761
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6762
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6763
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6764
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6765
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6766
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6767
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6768
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6769
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6770
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6771
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6772
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6773
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6774
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6775
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6776
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6777
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6778
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6779
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6780
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6781
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6782
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6783
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6784
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6785
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6786
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6787
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6788
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6789
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6790
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6791
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6792
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6793
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6794
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6795
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6796
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6797
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6798
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6799
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6800
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6801
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6802
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6803
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6804
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6805
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6806
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6807
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6808
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6809
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6810
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6811
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6812
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6813
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6814
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6815
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6816
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6817
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6818
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6819
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6820
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6821
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6822
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6823
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6824
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6825
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6826
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6827
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6828
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6829
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6830
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6831
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6832
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6833
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6834
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6835
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6836
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6837
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6838
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6839
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6840
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
6841
    Using one-hot encoding for signal .
6842
    Using one-hot encoding for signal .
6843
    Found 8-bit comparator equal for signal  created at line 934.
6844
    Found 1-bit 4-to-1 multiplexer for signal .
6845
    Found 20-bit comparator equal for signal  created at line 417.
6846
    Found 4-bit register for signal .
6847
    Found 1-bit register for signal .
6848
    Found 1-bit register for signal .
6849
    Found 2-bit register for signal .
6850
    Found 1-bit register for signal .
6851
    Found 1-bit register for signal .
6852
    Found 2-bit register for signal .
6853
    Found 1-bit register for signal .
6854
    Found 1-bit register for signal .
6855
    Found 6-bit register for signal .
6856
    Found 1-bit register for signal >.
6857
    Found 8-bit up counter for signal .
6858
    Found 1-bit register for signal .
6859
    Found 1-bit register for signal .
6860
    Found 1-bit register for signal .
6861
    Found 1-bit register for signal .
6862
    Found 1-bit register for signal .
6863
    Found 1-bit register for signal .
6864
    Found 1-bit register for signal .
6865
    Found 1-bit register for signal .
6866
    Found 1-bit register for signal .
6867
    Found 1-bit register for signal .
6868
    Found 1-bit register for signal .
6869
    Found 1-bit register for signal .
6870
    Found 2-bit register for signal .
6871
    Found 1-bit register for signal .
6872
    Found 4-bit register for signal >.
6873
    Found 32-bit register for signal .
6874
    Found 4-bit register for signal .
6875
    Found 32-bit register for signal .
6876
    Found 32-bit register for signal .
6877
    Found 1-bit register for signal .
6878
    Found 1-bit register for signal .
6879
    Found 2-bit register for signal .
6880
    Found 32-bit register for signal .
6881
    Found 28-bit comparator equal for signal  created at line 358.
6882
    Found 1-bit 4-to-1 multiplexer for signal  created at line 141.
6883
    Found 28-bit register for signal .
6884
    Found 1-bit register for signal >.
6885
    Found 1-bit register for signal .
6886
    Found 1-bit register for signal >.
6887
    Found 20-bit comparator equal for signal  created at line 365.
6888
    Found 1-bit 4-to-1 multiplexer for signal .
6889
    Found 8-bit adder for signal  created at line 233.
6890
    Summary:
6891
        inferred   1 Counter(s).
6892
        inferred 207 D-type flip-flop(s).
6893
        inferred   1 Adder/Subtractor(s).
6894
        inferred   4 Comparator(s).
6895
        inferred   3 Multiplexer(s).
6896
Unit  synthesized.
6897
 
6898
 
6899
Synthesizing Unit .
6900
    Related source file is "../../lib/gaisler/leon3/mmu_acache.vhd".
6901
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6902
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6903
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6904
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6905
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6906
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6907
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6908
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6909
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6910
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6911
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6912
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6913
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6914
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6915
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6916
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6917
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6918
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6919
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6920
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6921
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6922
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6923
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6924
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6925
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6926
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6927
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6928
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6929
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6930
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6931
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6932
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6933
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6934
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6935
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6936
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6937
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6938
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6939
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6940
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6941
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6942
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6943
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6944
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6945
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6946
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6947
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6948
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6949
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6950
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6951
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6952
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6953
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6954
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6955
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6956
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6957
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6958
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6959
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6960
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6961
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6962
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6963
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6964
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6965
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6966
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6967
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6968
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6969
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6970
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6971
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6972
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6973
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6974
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6975
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6976
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6977
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6978
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6979
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6980
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6981
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6982
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6983
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6984
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6985
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6986
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6987
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6988
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6989
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6990
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6991
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6992
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6993
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6994
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6995
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6996
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6997
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6998
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
6999
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7000
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7001
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7002
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7003
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7004
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7005
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7006
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7007
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7008
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7009
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7010
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7011
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7012
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7013
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7014
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7015
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7016
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7017
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7018
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7019
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7020
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7021
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7022
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7023
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7024
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7025
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7026
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7027
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7028
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7029
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7030
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7031
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7032
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7033
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7034
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7035
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7036
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7037
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7038
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7039
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7040
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7041
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7042
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7043
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7044
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7045
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7046
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7047
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7048
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7049
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7050
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7051
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7052
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7053
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7054
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7055
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7056
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7057
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7058
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7059
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7060
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7061
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7062
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7063
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7064
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7065
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7066
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7067
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7068
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7069
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7070
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7071
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7072
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7073
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7074
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7075
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7076
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7077
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7078
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7079
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7080
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7081
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7082
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7083
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7084
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7085
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7086
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7087
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7088
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7089
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7090
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7091
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7092
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
7093
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7094
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7095
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7096
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7097
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7098
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7099
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7100
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7101
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7102
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7103
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7104
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7105
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7106
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7107
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7108
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7109
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7110
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7111
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7112
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7113
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7114
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7115
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7116
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7117
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7118
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7119
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7120
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7121
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7122
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7123
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7124
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7125
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7126
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7127
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7128
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7129
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7130
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7131
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7132
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
7133
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7134
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7135
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7136
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7137
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7138
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7139
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7140
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7141
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7142
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7143
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7144
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7145
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7146
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7147
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7148
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7149
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7150
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7151
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7152
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7153
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7154
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7155
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7156
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7157
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7158
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7159
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7160
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7161
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7162
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7163
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7164
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7165
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7166
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7167
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7168
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7169
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7170
    Found 1-bit 4-to-1 multiplexer for signal .
7171
    Found 1-bit 4-to-1 multiplexer for signal .
7172
    Found 1-bit 4-to-1 multiplexer for signal .
7173
    Found 1-bit 4-to-1 multiplexer for signal .
7174
    Found 1-bit 4-to-1 multiplexer for signal .
7175
    Found 1-bit 4-to-1 multiplexer for signal .
7176
    Found 1-bit xor2 for signal  created at line 312.
7177
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7178
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7179
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7180
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7181
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7182
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7183
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7184
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7185
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7186
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7187
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7188
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7189
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7190
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7191
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7192
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7193
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7194
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7195
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7196
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7197
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7198
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7199
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7200
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7201
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7202
    Found 3-bit adder for signal  created at line 233.
7203
    Found 3-bit adder for signal  created at line 233.
7204
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7205
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7206
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7207
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7208
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7209
    Found 3-bit 4-to-1 multiplexer for signal  created at line 184.
7210
    Found 12-bit comparator equal for signal  created at line 500.
7211
    Found 12-bit comparator equal for signal  created at line 500.
7212
    Found 12-bit comparator equal for signal  created at line 500.
7213
    Found 12-bit comparator equal for signal  created at line 500.
7214
    Found 12-bit comparator equal for signal  created at line 500.
7215
    Found 12-bit comparator equal for signal  created at line 500.
7216
    Found 12-bit comparator equal for signal  created at line 500.
7217
    Found 12-bit comparator equal for signal  created at line 500.
7218
    Found 12-bit comparator equal for signal  created at line 500.
7219
    Found 12-bit comparator equal for signal  created at line 500.
7220
    Found 12-bit comparator equal for signal  created at line 500.
7221
    Found 12-bit comparator equal for signal  created at line 500.
7222
    Found 12-bit comparator equal for signal  created at line 500.
7223
    Found 12-bit comparator equal for signal  created at line 500.
7224
    Found 12-bit comparator equal for signal  created at line 500.
7225
    Found 12-bit comparator equal for signal  created at line 500.
7226
    Found 12-bit comparator equal for signal  created at line 500.
7227
    Found 12-bit comparator equal for signal  created at line 500.
7228
    Found 12-bit comparator equal for signal  created at line 500.
7229
    Found 12-bit comparator equal for signal  created at line 500.
7230
    Found 12-bit comparator equal for signal  created at line 500.
7231
    Found 12-bit comparator equal for signal  created at line 500.
7232
    Found 12-bit comparator equal for signal  created at line 500.
7233
    Found 12-bit comparator equal for signal  created at line 500.
7234
    Found 12-bit comparator equal for signal  created at line 500.
7235
    Found 12-bit comparator equal for signal  created at line 500.
7236
    Found 12-bit comparator equal for signal  created at line 500.
7237
    Found 12-bit comparator equal for signal  created at line 500.
7238
    Found 12-bit comparator equal for signal  created at line 500.
7239
    Found 12-bit comparator equal for signal  created at line 500.
7240
    Found 12-bit comparator equal for signal  created at line 500.
7241
    Found 12-bit comparator equal for signal  created at line 500.
7242
    Found 12-bit comparator equal for signal  created at line 500.
7243
    Found 12-bit comparator equal for signal  created at line 500.
7244
    Found 12-bit comparator equal for signal  created at line 500.
7245
    Found 12-bit comparator equal for signal  created at line 500.
7246
    Found 12-bit comparator equal for signal  created at line 500.
7247
    Found 12-bit comparator equal for signal  created at line 500.
7248
    Found 12-bit comparator equal for signal  created at line 500.
7249
    Found 12-bit comparator equal for signal  created at line 500.
7250
    Found 12-bit comparator equal for signal  created at line 500.
7251
    Found 12-bit comparator equal for signal  created at line 500.
7252
    Found 12-bit comparator equal for signal  created at line 500.
7253
    Found 12-bit comparator equal for signal  created at line 500.
7254
    Found 12-bit comparator equal for signal  created at line 500.
7255
    Found 12-bit comparator equal for signal  created at line 500.
7256
    Found 12-bit comparator equal for signal  created at line 500.
7257
    Found 12-bit comparator equal for signal  created at line 500.
7258
    Found 12-bit comparator equal for signal  created at line 500.
7259
    Found 12-bit comparator equal for signal  created at line 500.
7260
    Found 12-bit comparator equal for signal  created at line 500.
7261
    Found 12-bit comparator equal for signal  created at line 500.
7262
    Found 12-bit comparator equal for signal  created at line 500.
7263
    Found 12-bit comparator equal for signal  created at line 500.
7264
    Found 12-bit comparator equal for signal  created at line 500.
7265
    Found 12-bit comparator equal for signal  created at line 500.
7266
    Found 12-bit comparator equal for signal  created at line 500.
7267
    Found 12-bit comparator equal for signal  created at line 500.
7268
    Found 12-bit comparator equal for signal  created at line 500.
7269
    Found 12-bit comparator equal for signal  created at line 500.
7270
    Found 12-bit comparator equal for signal  created at line 500.
7271
    Found 12-bit comparator equal for signal  created at line 500.
7272
    Found 12-bit comparator equal for signal  created at line 500.
7273
    Found 12-bit comparator equal for signal  created at line 500.
7274
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7275
    Found 3-bit 4-to-1 multiplexer for signal  created at line 184.
7276
    Found 1-bit register for signal .
7277
    Found 1-bit register for signal .
7278
    Found 2-bit register for signal .
7279
    Found 1-bit register for signal .
7280
    Found 1-bit 4-to-1 multiplexer for signal .
7281
    Found 1-bit register for signal .
7282
    Found 1-bit register for signal .
7283
    Found 1-bit register for signal .
7284
    Found 1-bit register for signal .
7285
    Found 2-bit register for signal .
7286
    Found 1-bit register for signal .
7287
    Found 1-bit register for signal .
7288
    Found 1-bit register for signal .
7289
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
7290
    Summary:
7291
        inferred  14 D-type flip-flop(s).
7292
        inferred   2 Adder/Subtractor(s).
7293
        inferred  64 Comparator(s).
7294
        inferred  45 Multiplexer(s).
7295
Unit  synthesized.
7296
 
7297
 
7298
Synthesizing Unit .
7299
    Related source file is "../../lib/techmap/inferred/memory_inferred.vhd".
7300
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7301
    Found 32x32-bit dual-port RAM  for signal .
7302
    Found 32x32-bit dual-port RAM  for signal .
7303
    Found 32-bit register for signal .
7304
    Found 5-bit register for signal .
7305
    Found 5-bit register for signal .
7306
    Found 5-bit comparator equal for signal  created at line 177.
7307
    Found 5-bit comparator equal for signal  created at line 179.
7308
    Found 5-bit register for signal .
7309
    Found 1-bit register for signal .
7310
    Summary:
7311
        inferred   2 RAM(s).
7312
        inferred  48 D-type flip-flop(s).
7313
        inferred   2 Comparator(s).
7314
Unit  synthesized.
7315
 
7316
 
7317
Synthesizing Unit .
7318
    Related source file is "../../lib/gaisler/leon3/dsu3x.vhd".
7319
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7320
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7321
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7322
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7323
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7324
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7325
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7326
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7327
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7328
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7329
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7330
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7331
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7332
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7333
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7334
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7335
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7336
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7337
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7338
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7339
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7340
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7341
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7342
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7343
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7344
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7345
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7346
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7347
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7348
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7349
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7350
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7351
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7352
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7353
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7354
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7355
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7356
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7357
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7358
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7359
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7360
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7361
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000.
7362
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000.
7363
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7364
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7365
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000.
7366
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000.
7367
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7368
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
7369
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
7370
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
7371
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7372
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7373
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
7374
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
7375
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
7376
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7377
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000.
7378
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7379
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7380
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7381
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7382
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000.
7383
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7384
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7385
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7386
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7387
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7388
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7389
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7390
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7391
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7392
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7393
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7394
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7395
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7396
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7397
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7398
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7399
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7400
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7401
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7402
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7403
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7404
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7405
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7406
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7407
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7408
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7409
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7410
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7411
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7412
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7413
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7414
    Found 1-bit register for signal .
7415
    Found 1-bit register for signal >.
7416
    Found 1-bit register for signal >.
7417
    Found 1-bit register for signal >.
7418
    Found 1-bit register for signal >.
7419
    Found 1-bit register for signal >.
7420
    Found 1-bit register for signal >.
7421
    Found 1-bit register for signal >.
7422
    Found 3-bit register for signal .
7423
    Found 1-bit register for signal >.
7424
    Found 3-bit register for signal .
7425
    Found 3-bit register for signal .
7426
    Found 1-bit register for signal >.
7427
    Found 1-bit register for signal >.
7428
    Found 1-bit register for signal >.
7429
    Found 1-bit register for signal >.
7430
    Found 25-bit register for signal .
7431
    Found 32-bit register for signal .
7432
    Found 1-bit register for signal .
7433
    Found 1-bit register for signal .
7434
    Found 32-bit register for signal .
7435
    Found 1-bit register for signal .
7436
    Found 1-bit register for signal >.
7437
    Found 1-bit register for signal >.
7438
    Found 30-bit register for signal .
7439
    Found 3-bit subtractor for signal  created at line 273.
7440
    Found 30-bit adder for signal  created at line 233.
7441
    Summary:
7442
        inferred 146 D-type flip-flop(s).
7443
        inferred   2 Adder/Subtractor(s).
7444
Unit  synthesized.
7445
 
7446
 
7447
Synthesizing Unit .
7448
    Related source file is "../../lib/gaisler/misc/ahbmst.vhd".
7449
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7450
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7451
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7452
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7453
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7454
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7455
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7456
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7457
    Found 1-bit register for signal .
7458
    Found 1-bit register for signal .
7459
    Found 1-bit register for signal .
7460
    Found 1-bit register for signal .
7461
    Summary:
7462
        inferred   4 D-type flip-flop(s).
7463
Unit  synthesized.
7464
 
7465
 
7466
Synthesizing Unit .
7467
    Related source file is "../../lib/gaisler/uart/dcom_uart.vhd".
7468
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7469
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7470
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7471
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7472
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7473
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
7474
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
7475
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7476
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7477
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7478
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
7479
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7480
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7481
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7482
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7483
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 240 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
7484
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
7485
        - use the attribute 'signal_encoding user' to avoid onehot optimization
7486
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
7487
    Using one-hot encoding for signal .
7488
    Using one-hot encoding for signal .
7489
    Found 18-bit register for signal .
7490
    Found 14-bit comparator lessequal for signal  created at line 128.
7491
    Found 14-bit comparator not equal for signal  created at line 132.
7492
    Found 1-bit register for signal .
7493
    Found 1-bit register for signal .
7494
    Found 1-bit register for signal .
7495
    Found 1-bit register for signal .
7496
    Found 1-bit register for signal .
7497
    Found 8-bit register for signal .
7498
    Found 1-bit register for signal .
7499
    Found 8-bit register for signal .
7500
    Found 3-bit register for signal .
7501
    Found 1-bit register for signal .
7502
    Found 7-bit comparator equal for signal  created at line 201.
7503
    Found 1-bit register for signal .
7504
    Found 1-bit register for signal .
7505
    Found 8-bit register for signal .
7506
    Found 4-bit register for signal .
7507
    Found 1-bit register for signal .
7508
    Found 18-bit register for signal .
7509
    Found 2-bit register for signal .
7510
    Found 1-bit register for signal .
7511
    Found 8-bit register for signal .
7512
    Found 1-bit register for signal .
7513
    Found 1-bit register for signal .
7514
    Found 11-bit register for signal .
7515
    Found 3-bit register for signal .
7516
    Found 3-bit register for signal .
7517
    Found 1-bit register for signal .
7518
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7519
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7520
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7521
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7522
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7523
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7524
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7525
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7526
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7527
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7528
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7529
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7530
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7531
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7532
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7533
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7534
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7535
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
7536
    Found 3-bit adder for signal  created at line 233.
7537
    Found 18-bit addsub for signal  created at line 114.
7538
    Found 3-bit adder for signal  created at line 233.
7539
    Found 14-bit comparator equal for signal  created at line 132.
7540
    Found 2-bit adder for signal  created at line 233.
7541
    Found 14-bit comparator greater for signal  created at line 128.
7542
    Summary:
7543
        inferred 108 D-type flip-flop(s).
7544
        inferred   4 Adder/Subtractor(s).
7545
        inferred   5 Comparator(s).
7546
        inferred  18 Multiplexer(s).
7547
Unit  synthesized.
7548
 
7549
 
7550
Synthesizing Unit .
7551
    Related source file is "../../lib/gaisler/uart/dcom.vhd".
7552
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7553
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7554
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7555
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7556
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7557
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7558
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7559
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7560
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7561
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7562
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7563
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7564
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7565
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7566
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7567
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7568
    Using one-hot encoding for signal .
7569
    Found 30-bit adder for signal  created at line 233.
7570
    Found 6-bit subtractor for signal  created at line 273.
7571
    Found 32-bit register for signal .
7572
    Found 2-bit register for signal .
7573
    Found 32-bit register for signal .
7574
    Found 6-bit register for signal .
7575
    Found 6-bit register for signal .
7576
    Found 1-bit register for signal .
7577
    Found 2-bit adder for signal  created at line 233.
7578
    Summary:
7579
        inferred  79 D-type flip-flop(s).
7580
        inferred   3 Adder/Subtractor(s).
7581
Unit  synthesized.
7582
 
7583
 
7584
Synthesizing Unit .
7585
    Related source file is "../../lib/gaisler/misc/ahbmst.vhd".
7586
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7587
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7588
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7589
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7590
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7591
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7592
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7593
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7594
    Found 1-bit register for signal .
7595
    Found 1-bit register for signal .
7596
    Found 1-bit register for signal .
7597
    Found 1-bit register for signal .
7598
    Summary:
7599
        inferred   4 D-type flip-flop(s).
7600
Unit  synthesized.
7601
 
7602
 
7603
Synthesizing Unit .
7604
    Related source file is "../../lib/gaisler/jtag/jtagcom.vhd".
7605
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7606
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7607
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7608
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7609
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7610
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7611
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7612
    Found 35-bit register for signal .
7613
    Found 1-bit register for signal >.
7614
    Found 33-bit register for signal .
7615
    Found 1-bit register for signal >.
7616
    Found 1-bit register for signal >.
7617
    Found 1-bit register for signal .
7618
    Found 1-bit register for signal .
7619
    Found 1-bit register for signal >.
7620
    Found 1-bit register for signal >.
7621
    Found 1-bit register for signal .
7622
    Found 1-bit register for signal >.
7623
    Found 1-bit register for signal .
7624
    Found 1-bit register for signal >.
7625
    Found 8-bit adder for signal  created at line 233.
7626
    Summary:
7627
        inferred  79 D-type flip-flop(s).
7628
        inferred   1 Adder/Subtractor(s).
7629
Unit  synthesized.
7630
 
7631
 
7632
Synthesizing Unit .
7633
    Related source file is "../../lib/gaisler/memctrl/sdmctrl.vhd".
7634
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7635
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7636
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7637
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
7638
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7639
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7640
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7641
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7642
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7643
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7644
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7645
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7646
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7647
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7648
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7649
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7650
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7651
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7652
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7653
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7654
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7655
    Using one-hot encoding for signal .
7656
    Using one-hot encoding for signal .
7657
    Using one-hot encoding for signal .
7658
    Using one-hot encoding for signal .
7659
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 246 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
7660
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
7661
        - use the attribute 'signal_encoding user' to avoid onehot optimization
7662
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
7663
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 0 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
7664
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
7665
        - use the attribute 'signal_encoding user' to avoid onehot optimization
7666
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
7667
    Found 15-bit register for signal .
7668
    Found 1-bit register for signal .
7669
    Found 1-bit register for signal .
7670
    Found 1-bit register for signal .
7671
    Found 1-bit register for signal .
7672
    Found 1-bit register for signal .
7673
    Found 3-bit register for signal .
7674
    Found 1-bit register for signal .
7675
    Found 1-bit register for signal .
7676
    Found 3-bit register for signal .
7677
    Found 2-bit register for signal .
7678
    Found 4-bit register for signal .
7679
    Found 2-bit register for signal .
7680
    Found 6-bit register for signal .
7681
    Found 3-bit register for signal .
7682
    Found 15-bit register for signal .
7683
    Found 1-bit register for signal .
7684
    Found 4-bit register for signal .
7685
    Found 3-bit register for signal .
7686
    Found 1-bit register for signal .
7687
    Found 4-bit register for signal .
7688
    Found 3-bit register for signal .
7689
    Found 8-bit register for signal .
7690
    Found 22-bit register for signal .
7691
    Found 1-bit register for signal .
7692
    Found 1-bit register for signal .
7693
    Found 3-bit register for signal .
7694
    Found 3-bit subtractor for signal  created at line 273.
7695
    Found 4-bit register for signal .
7696
    Found 6-bit register for signal .
7697
    Found 2-bit register for signal .
7698
    Found 1-bit register for signal .
7699
    Found 15-bit register for signal .
7700
    Found 2-bit register for signal .
7701
    Found 19-bit register for signal .
7702
    Found 1-bit register for signal .
7703
    Found 3-bit register for signal .
7704
    Found 1-bit register for signal .
7705
    Found 4-bit register for signal .
7706
    Found 13-bit 4-to-1 multiplexer for signal  created at line 215.
7707
    Found 1-bit 8-to-1 multiplexer for signal  created at line 141.
7708
    Found 1-bit 8-to-1 multiplexer for signal  created at line 141.
7709
    Found 1-bit 8-to-1 multiplexer for signal  created at line 141.
7710
    Found 3-bit comparator not equal for signal  created at line 609.
7711
    Found 2-bit comparator not equal for signal  created at line 606.
7712
    Found 2-bit comparator not equal for signal  created at line 603.
7713
    Found 4-bit subtractor for signal  created at line 273.
7714
    Found 22-bit comparator equal for signal  created at line 311.
7715
    Found 15-bit subtractor for signal  created at line 273.
7716
    Found 4-bit subtractor for signal  created at line 273.
7717
    Summary:
7718
        inferred 169 D-type flip-flop(s).
7719
        inferred   4 Adder/Subtractor(s).
7720
        inferred   4 Comparator(s).
7721
        inferred  16 Multiplexer(s).
7722
Unit  synthesized.
7723
 
7724
 
7725
Synthesizing Unit .
7726
    Related source file is "../../lib/gaisler/leon3/dsu3.vhd".
7727
Unit  synthesized.
7728
 
7729
 
7730
Synthesizing Unit .
7731
    Related source file is "../../lib/gaisler/uart/ahbuart.vhd".
7732
Unit  synthesized.
7733
 
7734
 
7735
Synthesizing Unit .
7736
    Related source file is "../../lib/esa/memoryctrl/mctrl.vhd".
7737
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7738
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7739
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7740
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7741
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7742
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7743
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7744
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7745
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7746
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7747
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
7748
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7749
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7750
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7751
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7752
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7753
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7754
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7755
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7756
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7757
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
7758
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7759
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7760
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7761
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7762
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 474 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
7763
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
7764
        - use the attribute 'signal_encoding user' to avoid onehot optimization
7765
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
7766
    Using one-hot encoding for signal .
7767
    Found 32-bit 4-to-1 multiplexer for signal .
7768
    Found 32-bit register for signal .
7769
    Found 3-bit register for signal .
7770
    Found 4-bit register for signal .
7771
    Found 1-bit register for signal .
7772
    Found 1-bit register for signal .
7773
    Found 1-bit register for signal .
7774
    Found 8-bit register for signal .
7775
    Found 2-bit register for signal .
7776
    Found 32-bit register for signal .
7777
    Found 1-bit register for signal .
7778
    Found 32-bit register for signal .
7779
    Found 3-bit register for signal .
7780
    Found 2-bit register for signal .
7781
    Found 1-bit register for signal .
7782
    Found 2-bit register for signal .
7783
    Found 1-bit register for signal .
7784
    Found 2-bit register for signal .
7785
    Found 4-bit register for signal .
7786
    Found 1-bit register for signal .
7787
    Found 1-bit register for signal .
7788
    Found 1-bit register for signal .
7789
    Found 2-bit register for signal .
7790
    Found 4-bit register for signal .
7791
    Found 4-bit register for signal .
7792
    Found 2-bit register for signal .
7793
    Found 1-bit register for signal .
7794
    Found 4-bit register for signal .
7795
    Found 4-bit register for signal .
7796
    Found 2-bit register for signal .
7797
    Found 2-bit register for signal .
7798
    Found 2-bit register for signal .
7799
    Found 1-bit register for signal .
7800
    Found 1-bit register for signal .
7801
    Found 1-bit register for signal .
7802
    Found 1-bit register for signal .
7803
    Found 5-bit register for signal .
7804
    Found 5-bit register for signal .
7805
    Found 1-bit register for signal .
7806
    Found 32-bit register for signal .
7807
    Found 1-bit register for signal .
7808
    Found 1-bit register for signal .
7809
    Found 2-bit register for signal .
7810
    Found 15-bit register for signal .
7811
    Found 1-bit register for signal .
7812
    Found 64-bit register for signal .
7813
    Found 2-bit register for signal .
7814
    Found 1-bit register for signal .
7815
    Found 32-bit register for signal .
7816
    Found 16-bit register for signal .
7817
    Found 1-bit register for signal .
7818
    Found 4-bit register for signal .
7819
    Found 4-bit register for signal .
7820
    Found 32-bit register for signal .
7821
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7822
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7823
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7824
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7825
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7826
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7827
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7828
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7829
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7830
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7831
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7832
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7833
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7834
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7835
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7836
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7837
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7838
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7839
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7840
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7841
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7842
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7843
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7844
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7845
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7846
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7847
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7848
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7849
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7850
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7851
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7852
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
7853
    Found 1-bit 16-to-1 multiplexer for signal  created at line 141.
7854
    Found 1-bit 16-to-1 multiplexer for signal  created at line 141.
7855
    Found 64-bit register for signal .
7856
    Found 2-bit adder for signal  created at line 233.
7857
    Found 4-bit subtractor for signal  created at line 273.
7858
    Found 1-bit 4-to-1 multiplexer for signal  created at line 432.
7859
    Found 1-bit 4-to-1 multiplexer for signal  created at line 432.
7860
    Found 1-bit 4-to-1 multiplexer for signal  created at line 432.
7861
    Found 1-bit 4-to-1 multiplexer for signal  created at line 432.
7862
    Found 1-bit 4-to-1 multiplexer for signal  created at line 432.
7863
    Found 1-bit 4-to-1 multiplexer for signal  created at line 432.
7864
    Found 1-bit 4-to-1 multiplexer for signal  created at line 432.
7865
    Found 1-bit 4-to-1 multiplexer for signal  created at line 432.
7866
    Summary:
7867
        inferred 449 D-type flip-flop(s).
7868
        inferred   2 Adder/Subtractor(s).
7869
        inferred  74 Multiplexer(s).
7870
Unit  synthesized.
7871
 
7872
 
7873
Synthesizing Unit .
7874
    Related source file is "../../lib/techmap/unisim/pads_unisim.vhd".
7875
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7876
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7877
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7878
WARNING:Xst:1780 - Signal 
    is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7879
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7880
Unit  synthesized.
7881
 
7882
 
7883
Synthesizing Unit .
7884
    Related source file is "../../lib/techmap/unisim/pads_unisim.vhd".
7885
Unit  synthesized.
7886
 
7887
 
7888
Synthesizing Unit .
7889
    Related source file is "../../lib/techmap/unisim/clkgen_unisim.vhd".
7890
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7891
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7892
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7893
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7894
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7895
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7896
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7897
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7898
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7899
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7900
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7901
    Found 4-bit register for signal .
7902
    Summary:
7903
        inferred   4 D-type flip-flop(s).
7904
Unit  synthesized.
7905
 
7906
 
7907
Synthesizing Unit .
7908
    Related source file is "../../lib/techmap/unisim/pads_unisim.vhd".
7909
Unit  synthesized.
7910
 
7911
 
7912
Synthesizing Unit .
7913
    Related source file is "../../lib/techmap/maps/regfile_3p.vhd".
7914
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7915
Unit  synthesized.
7916
 
7917
 
7918
Synthesizing Unit .
7919
    Related source file is "../../lib/gaisler/leon3/cache.vhd".
7920
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7921
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7922
Unit  synthesized.
7923
 
7924
 
7925
Synthesizing Unit .
7926
    Related source file is "../../lib/gaisler/vlog/RF_stage1.v".
7927
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7928
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7929
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7930
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7931
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7932
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7933
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
7934
Unit  synthesized.
7935
 
7936
 
7937
Synthesizing Unit .
7938
    Related source file is "../../lib/gaisler/vlog/forward.v".
7939
Unit  synthesized.
7940
 
7941
 
7942
Synthesizing Unit .
7943
    Related source file is "../../lib/gaisler/vlog/hazard_unit.v".
7944
Unit  synthesized.
7945
 
7946
 
7947
Synthesizing Unit .
7948
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
7949
Unit  synthesized.
7950
 
7951
 
7952
Synthesizing Unit .
7953
    Related source file is "../../lib/gaisler/vlog/decode_pipe1.v".
7954
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7955
Unit  synthesized.
7956
 
7957
 
7958
Synthesizing Unit .
7959
    Related source file is "../../lib/techmap/unisim/memory_unisim.vhd".
7960
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7961
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7962
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7963
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7964
Unit  synthesized.
7965
 
7966
 
7967
Synthesizing Unit .
7968
    Related source file is "../../lib/techmap/unisim/memory_unisim.vhd".
7969
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7970
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7971
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7972
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7973
Unit  synthesized.
7974
 
7975
 
7976
Synthesizing Unit .
7977
    Related source file is "../../lib/techmap/unisim/memory_unisim.vhd".
7978
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7979
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
7980
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7981
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7982
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7983
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7984
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7985
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7986
Unit  synthesized.
7987
 
7988
 
7989
Synthesizing Unit .
7990
    Related source file is "../../lib/techmap/unisim/memory_unisim.vhd".
7991
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7992
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
7993
Unit  synthesized.
7994
 
7995
 
7996
Synthesizing Unit .
7997
    Related source file is "../../lib/techmap/unisim/pads_unisim.vhd".
7998
Unit  synthesized.
7999
 
8000
 
8001
Synthesizing Unit .
8002
    Related source file is "../../lib/techmap/unisim/pads_unisim.vhd".
8003
Unit  synthesized.
8004
 
8005
 
8006
Synthesizing Unit .
8007
    Related source file is "../../lib/techmap/unisim/tap_unisim.vhd".
8008
Unit  synthesized.
8009
 
8010
 
8011
Synthesizing Unit .
8012
    Related source file is "../../lib/techmap/unisim/pads_unisim.vhd".
8013
Unit  synthesized.
8014
 
8015
 
8016
Synthesizing Unit .
8017
    Related source file is "../../lib/techmap/maps/clkpad.vhd".
8018
Unit  synthesized.
8019
 
8020
 
8021
Synthesizing Unit .
8022
    Related source file is "../../lib/techmap/maps/inpad.vhd".
8023
Unit  synthesized.
8024
 
8025
 
8026
Synthesizing Unit .
8027
    Related source file is "../../lib/techmap/maps/clkgen.vhd".
8028
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8029
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8030
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8031
Unit  synthesized.
8032
 
8033
 
8034
Synthesizing Unit .
8035
    Related source file is "../../lib/techmap/maps/outpad.vhd".
8036
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8037
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8038
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8039
Unit  synthesized.
8040
 
8041
 
8042
Synthesizing Unit .
8043
    Related source file is "../../lib/techmap/maps/odpad.vhd".
8044
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8045
Unit  synthesized.
8046
 
8047
 
8048
Synthesizing Unit .
8049
    Related source file is "../../lib/techmap/maps/outpad.vhd".
8050
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8051
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8052
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8053
Unit  synthesized.
8054
 
8055
 
8056
Synthesizing Unit .
8057
    Related source file is "../../lib/techmap/maps/iopad.vhd".
8058
Unit  synthesized.
8059
 
8060
 
8061
Synthesizing Unit .
8062
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
8063
Unit  synthesized.
8064
 
8065
 
8066
Synthesizing Unit .
8067
    Related source file is "../../lib/gaisler/vlog/decode_pipe1.v".
8068
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8069
Unit  synthesized.
8070
 
8071
 
8072
Synthesizing Unit .
8073
    Related source file is "../../lib/techmap/maps/syncram.vhd".
8074
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8075
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8076
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8077
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8078
Unit  synthesized.
8079
 
8080
 
8081
Synthesizing Unit .
8082
    Related source file is "../../lib/techmap/maps/syncram.vhd".
8083
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8084
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8085
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8086
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8087
Unit  synthesized.
8088
 
8089
 
8090
Synthesizing Unit .
8091
    Related source file is "../../lib/techmap/maps/syncram_dp.vhd".
8092
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8093
Unit  synthesized.
8094
 
8095
 
8096
Synthesizing Unit .
8097
    Related source file is "../../lib/techmap/maps/syncram64.vhd".
8098
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8099
Unit  synthesized.
8100
 
8101
 
8102
Synthesizing Unit .
8103
    Related source file is "../../lib/techmap/maps/tap.vhd".
8104
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8105
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8106
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8107
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8108
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8109
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8110
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8111
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8112
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8113
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8114
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000.
8115
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8116
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8117
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8118
Unit  synthesized.
8119
 
8120
 
8121
Synthesizing Unit .
8122
    Related source file is "../../lib/techmap/maps/outpad.vhd".
8123
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8124
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8125
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8126
Unit  synthesized.
8127
 
8128
 
8129
Synthesizing Unit .
8130
    Related source file is "../../lib/techmap/maps/iopad.vhd".
8131
Unit  synthesized.
8132
 
8133
 
8134
Synthesizing Unit .
8135
    Related source file is "../../lib/gaisler/jtag/ahbjtag.vhd".
8136
Unit  synthesized.
8137
 
8138
 
8139
Synthesizing Unit .
8140
    Related source file is "../../lib/techmap/maps/outpad.vhd".
8141
Unit  synthesized.
8142
 
8143
 
8144
Synthesizing Unit .
8145
    Related source file is "../../lib/techmap/maps/outpad.vhd".
8146
Unit  synthesized.
8147
 
8148
 
8149
Synthesizing Unit .
8150
    Related source file is "../../lib/techmap/maps/outpad.vhd".
8151
Unit  synthesized.
8152
 
8153
 
8154
Synthesizing Unit .
8155
    Related source file is "../../lib/techmap/maps/outpad.vhd".
8156
Unit  synthesized.
8157
 
8158
 
8159
Synthesizing Unit .
8160
    Related source file is "../../lib/techmap/maps/iopad.vhd".
8161
Unit  synthesized.
8162
 
8163
 
8164
Synthesizing Unit .
8165
    Related source file is "../../lib/techmap/maps/outpad.vhd".
8166
Unit  synthesized.
8167
 
8168
 
8169
Synthesizing Unit .
8170
    Related source file is "../../lib/gaisler/leon3/cachemem.vhd".
8171
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8172
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8173
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8174
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8175
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8176
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8177
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8178
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8179
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8180
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8181
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8182
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8183
WARNING:Xst:647 - Input <11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8184
WARNING:Xst:647 - Input <8:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8185
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8186
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8187
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8188
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8189
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8190
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8191
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8192
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8193
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8194
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8195
WARNING:Xst:647 - Input <11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8196
WARNING:Xst:647 - Input <8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8197
WARNING:Xst:647 - Input <11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8198
WARNING:Xst:647 - Input <8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8199
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8200
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8201
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8202
WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8203
WARNING:Xst:1781 - Signal > is used but never assigned. Tied to default value.
8204
WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8205
WARNING:Xst:1781 - Signal > is used but never assigned. Tied to default value.
8206
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8207
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8208
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8209
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8210
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8211
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8212
WARNING:Xst:646 - Signal <28>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8213
WARNING:Xst:646 - Signal <28>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8214
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8215
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8216
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8217
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8218
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8219
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8220
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8221
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8222
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8223
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8224
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8225
WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8226
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8227
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8228
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8229
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8230
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8231
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8232
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8233
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8234
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8235
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8236
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8237
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8238
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8239
Unit  synthesized.
8240
 
8241
 
8242
Synthesizing Unit .
8243
    Related source file is "../../lib/gaisler/leon3/tbufmem.vhd".
8244
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8245
Unit  synthesized.
8246
 
8247
 
8248
Synthesizing Unit .
8249
    Related source file is "../../lib/gaisler/vlog/core1.v".
8250
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8251
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8252
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8253
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8254
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8255
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8256
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8257
Unit  synthesized.
8258
 
8259
 
8260
Synthesizing Unit .
8261
    Related source file is "../../lib/gaisler/leon3/top.vhd".
8262
Unit  synthesized.
8263
 
8264
 
8265
Synthesizing Unit .
8266
    Related source file is "../../lib/gaisler/leon3/proc3.vhd".
8267
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8268
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8269
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8270
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8271
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8272
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8273
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8274
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8275
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8276
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8277
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8278
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8279
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8280
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8281
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8282
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8283
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8284
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8285
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8286
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8287
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8288
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8289
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8290
WARNING:Xst:1305 - Output  is never assigned. Tied to value 000000000000.
8291
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8292
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8293
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8294
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8295
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8296
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8297
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8298
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8299
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8300
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
8301
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8302
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8303
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8304
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8305
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8306
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8307
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8308
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8309
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8310
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8311
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8312
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8313
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8314
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8315
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8316
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8317
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8318
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8319
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
8320
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8321
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8322
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8323
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8324
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8325
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8326
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8327
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8328
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8329
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8330
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8331
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8332
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8333
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8334
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8335
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8336
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8337
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8338
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8339
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8340
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8341
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8342
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8343
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8344
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8345
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8346
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8347
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8348
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8349
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8350
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000.
8351
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8352
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8353
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8354
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8355
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000.
8356
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8357
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8358
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8359
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8360
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8361
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8362
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000.
8363
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8364
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8365
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8366
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8367
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8368
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8369
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8370
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8371
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8372
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8373
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000.
8374
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
8375
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8376
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8377
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8378
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8379
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8380
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8381
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000.
8382
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8383
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8384
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8385
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8386
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
8387
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8388
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8389
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8390
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8391
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8392
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
8393
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
8394
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8395
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8396
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
8397
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8398
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8399
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8400
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8401
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8402
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8403
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8404
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
8405
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
8406
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8407
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8408
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000000000000000000000.
8409
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8410
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8411
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8412
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8413
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8414
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8415
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8416
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8417
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8418
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8419
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8420
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8421
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8422
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
8423
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8424
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8425
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
8426
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
8427
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8428
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8429
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8430
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8431
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8432
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8433
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8434
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8435
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8436
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8437
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8438
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8439
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8440
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8441
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8442
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8443
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8444
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8445
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8446
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8447
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8448
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8449
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8450
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8451
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8452
Unit  synthesized.
8453
 
8454
 
8455
Synthesizing Unit .
8456
    Related source file is "../../lib/gaisler/leon3/leon3s.vhd".
8457
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8458
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8459
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8460
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8461
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8462
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8463
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8464
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8465
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8466
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8467
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8468
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8469
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8470
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8471
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8472
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8473
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8474
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8475
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8476
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8477
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8478
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8479
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8480
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8481
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8482
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8483
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8484
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8485
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8486
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8487
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8488
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8489
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8490
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8491
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8492
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8493
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8494
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8495
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8496
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8497
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8498
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8499
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8500
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8501
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8502
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8503
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8504
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8505
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8506
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8507
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8508
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8509
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8510
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8511
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8512
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8513
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8514
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8515
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8516
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8517
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8518
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8519
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8520
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8521
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8522
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8523
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8524
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8525
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8526
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8527
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8528
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8529
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8530
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8531
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8532
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8533
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8534
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8535
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8536
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8537
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8538
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8539
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8540
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8541
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8542
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8543
    Found 1-bit register for signal .
8544
    Summary:
8545
        inferred   1 D-type flip-flop(s).
8546
Unit  synthesized.
8547
 
8548
 
8549
Synthesizing Unit .
8550
    Related source file is "leon3mp.vhd".
8551
WARNING:Xst:2565 - Inout  is never assigned.
8552
WARNING:Xst:2565 - Inout  is never assigned.
8553
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8554
WARNING:Xst:2565 - Inout  is never assigned.
8555
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8556
WARNING:Xst:2565 - Inout  is never assigned.
8557
WARNING:Xst:2565 - Inout  is never assigned.
8558
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8559
WARNING:Xst:1306 - Output  is never assigned.
8560
WARNING:Xst:2565 - Inout  is never assigned.
8561
WARNING:Xst:2565 - Inout  is never assigned.
8562
WARNING:Xst:1306 - Output  is never assigned.
8563
WARNING:Xst:2565 - Inout  is never assigned.
8564
WARNING:Xst:1306 - Output  is never assigned.
8565
WARNING:Xst:2565 - Inout  is never assigned.
8566
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8567
WARNING:Xst:1306 - Output  is never assigned.
8568
WARNING:Xst:2565 - Inout  is never assigned.
8569
WARNING:Xst:2565 - Inout  is never assigned.
8570
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8571
WARNING:Xst:1306 - Output  is never assigned.
8572
WARNING:Xst:2565 - Inout  is never assigned.
8573
WARNING:Xst:2565 - Inout  is never assigned.
8574
WARNING:Xst:2565 - Inout  is never assigned.
8575
WARNING:Xst:2565 - Inout  is never assigned.
8576
WARNING:Xst:2565 - Inout  is never assigned.
8577
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8578
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8579
WARNING:Xst:2565 - Inout  is never assigned.
8580
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8581
WARNING:Xst:2565 - Inout  is never assigned.
8582
WARNING:Xst:2565 - Inout  is never assigned.
8583
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8584
WARNING:Xst:2565 - Inout  is never assigned.
8585
WARNING:Xst:1306 - Output  is never assigned.
8586
WARNING:Xst:2565 - Inout  is never assigned.
8587
WARNING:Xst:1306 - Output  is never assigned.
8588
WARNING:Xst:1306 - Output  is never assigned.
8589
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8590
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8591
WARNING:Xst:2565 - Inout  is never assigned.
8592
WARNING:Xst:2565 - Inout  is never assigned.
8593
WARNING:Xst:2565 - Inout  is never assigned.
8594
WARNING:Xst:2565 - Inout  is never assigned.
8595
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8596
WARNING:Xst:1306 - Output  is never assigned.
8597
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8598
WARNING:Xst:2565 - Inout  is never assigned.
8599
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8600
WARNING:Xst:2565 - Inout  is never assigned.
8601
WARNING:Xst:1306 - Output  is never assigned.
8602
WARNING:Xst:1306 - Output  is never assigned.
8603
WARNING:Xst:1306 - Output  is never assigned.
8604
WARNING:Xst:2565 - Inout  is never assigned.
8605
WARNING:Xst:1306 - Output  is never assigned.
8606
WARNING:Xst:2565 - Inout  is never assigned.
8607
WARNING:Xst:1306 - Output  is never assigned.
8608
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8609
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8610
WARNING:Xst:1306 - Output  is never assigned.
8611
WARNING:Xst:2565 - Inout  is never assigned.
8612
WARNING:Xst:1306 - Output  is never assigned.
8613
WARNING:Xst:1306 - Output  is never assigned.
8614
WARNING:Xst:1306 - Output  is never assigned.
8615
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8616
WARNING:Xst:1306 - Output  is never assigned.
8617
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8618
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8619
WARNING:Xst:1306 - Output  is never assigned.
8620
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8621
WARNING:Xst:1306 - Output  is never assigned.
8622
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8623
WARNING:Xst:2565 - Inout  is never assigned.
8624
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8625
WARNING:Xst:2565 - Inout  is never assigned.
8626
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
8627
WARNING:Xst:2565 - Inout  is never assigned.
8628
WARNING:Xst:1306 - Output  is never assigned.
8629
WARNING:Xst:2565 - Inout  is never assigned.
8630
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8631
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8632
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8633
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8634
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8635
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8636
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8637
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8638
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8639
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8640
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8641
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8642
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8643
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8644
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8645
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8646
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8647
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8648
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8649
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8650
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8651
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8652
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8653
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8654
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8655
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8656
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8657
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8658
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8659
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8660
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8661
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8662
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8663
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8664
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8665
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8666
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8667
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8668
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8669
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8670
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8671
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8672
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8673
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8674
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8675
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8676
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8677
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8678
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8679
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8680
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8681
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8682
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8683
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8684
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8685
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8686
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8687
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8688
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8689
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8690
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8691
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8692
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8693
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8694
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8695
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8696
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8697
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8698
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8699
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8700
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8701
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8702
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8703
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8704
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8705
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8706
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8707
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8708
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8709
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8710
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8711
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8712
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8713
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8714
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8715
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8716
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8717
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8718
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8719
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8720
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8721
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8722
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8723
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8724
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8725
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8726
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8727
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8728
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8729
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8730
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8731
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8732
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8733
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8734
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8735
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8736
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8737
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8738
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8739
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8740
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8741
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8742
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8743
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8744
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8745
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8746
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8747
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8748
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8749
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8750
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8751
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8752
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8753
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8754
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8755
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8756
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8757
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8758
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8759
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8760
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8761
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8762
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8763
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8764
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8765
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8766
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8767
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8768
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8769
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8770
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8771
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8772
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8773
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8774
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8775
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8776
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8777
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8778
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8779
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8780
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8781
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8782
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8783
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8784
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8785
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8786
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8787
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8788
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000000000000000000000000000000000000000000000.
8789
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8790
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8791
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8792
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8793
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8794
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8795
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8796
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8797
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8798
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8799
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8800
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8801
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8802
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8803
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8804
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8805
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8806
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8807
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8808
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8809
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8810
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8811
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8812
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8813
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8814
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8815
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8816
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8817
WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000.
8818
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8819
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8820
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8821
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8822
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8823
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8824
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8825
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8826
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8827
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8828
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8829
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8830
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8831
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8832
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8833
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8834
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8835
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8836
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8837
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8838
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8839
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8840
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8841
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8842
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8843
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8844
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8845
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8846
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8847
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8848
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8849
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8850
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8851
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8852
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8853
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8854
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8855
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8856
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8857
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8858
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8859
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8860
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8861
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8862
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8863
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8864
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8865
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8866
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8867
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8868
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8869
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8870
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8871
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8872
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8873
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8874
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8875
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8876
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8877
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8878
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8879
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8880
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8881
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8882
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8883
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8884
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8885
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8886
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8887
WARNING:Xst:1580 - Signal  with a "KEEP" property is assigned but never used. Related logic will not be removed.
8888
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
8889
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8890
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8891
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
8892
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8893
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8894
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8895
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8896
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8897
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8898
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8899
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8900
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8901
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8902
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8903
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8904
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8905
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8906
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8907
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8908
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8909
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8910
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8911
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8912
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8913
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8914
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8915
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8916
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8917
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8918
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8919
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8920
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8921
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8922
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8923
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8924
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8925
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8926
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8927
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8928
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8929
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8930
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8931
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8932
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8933
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8934
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8935
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8936
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8937
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8938
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8939
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8940
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8941
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8942
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8943
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8944
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8945
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8946
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8947
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8948
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8949
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8950
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8951
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8952
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8953
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8954
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8955
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8956
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8957
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8958
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8959
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8960
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8961
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8962
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8963
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8964
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8965
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8966
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8967
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8968
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8969
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8970
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8971
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8972
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8973
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8974
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8975
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8976
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8977
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8978
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8979
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8980
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8981
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8982
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8983
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8984
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8985
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8986
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8987
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8988
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8989
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8990
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8991
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8992
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
8993
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
8994
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
8995
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
8996
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
8997
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
8998
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
8999
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9000
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9001
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9002
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9003
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9004
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
9005
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9006
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
9007
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9008
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9009
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9010
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9011
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9012
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
9013
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9014
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
9015
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9016
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9017
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9018
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9019
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9020
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9021
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9022
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9023
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9024
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9025
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9026
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9027
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9028
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9029
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9030
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9031
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9032
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9033
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9034
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9035
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9036
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9037
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9038
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9039
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9040
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9041
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9042
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9043
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9044
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9045
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9046
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9047
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9048
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9049
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9050
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9051
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9052
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9053
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9054
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9055
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9056
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9057
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9058
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9059
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9060
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9061
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9062
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9063
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9064
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9065
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9066
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9067
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9068
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9069
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9070
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9071
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9072
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9073
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9074
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9075
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9076
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9077
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9078
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9079
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9080
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9081
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9082
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9083
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9084
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9085
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9086
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9087
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9088
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9089
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9090
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9091
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9092
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9093
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9094
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9095
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9096
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9097
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9098
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9099
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9100
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9101
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9102
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9103
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9104
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9105
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9106
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9107
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9108
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9109
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9110
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9111
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9112
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9113
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9114
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9115
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9116
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9117
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9118
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9119
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9120
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9121
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9122
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9123
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9124
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9125
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9126
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9127
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9128
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9129
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9130
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9131
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9132
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9133
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9134
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9135
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9136
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9137
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9138
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9139
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9140
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9141
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9142
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9143
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9144
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9145
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9146
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9147
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9148
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9149
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9150
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9151
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9152
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9153
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9154
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9155
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9156
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9157
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9158
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9159
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9160
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9161
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9162
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9163
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9164
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9165
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9166
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
9167
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9168
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9169
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9170
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9171
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
9172
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
9173
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
9174
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
9175
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
9176
Unit  synthesized.
9177
 
9178
INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing.
9179
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
9180
   This instance will be removed from the design along with all underlying logic
9181
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
9182
   This instance will be removed from the design along with all underlying logic
9183
 
9184
=========================================================================
9185
HDL Synthesis Report
9186
 
9187
Macro Statistics
9188
# RAMs                                                 : 2
9189
 32x32-bit dual-port RAM                               : 2
9190
# ROMs                                                 : 2
9191
 16x3-bit ROM                                          : 2
9192
# Multipliers                                          : 1
9193
 33x33-bit multiplier                                  : 1
9194
# Adders/Subtractors                                   : 50
9195
 12-bit subtractor                                     : 1
9196
 15-bit subtractor                                     : 1
9197
 18-bit addsub                                         : 1
9198
 2-bit adder                                           : 5
9199
 3-bit adder                                           : 10
9200
 3-bit subtractor                                      : 4
9201
 30-bit adder                                          : 2
9202
 32-bit adder                                          : 5
9203
 32-bit adder carry out                                : 1
9204
 32-bit subtractor                                     : 1
9205
 33-bit adder                                          : 10
9206
 4-bit subtractor                                      : 3
9207
 5-bit adder                                           : 1
9208
 6-bit subtractor                                      : 1
9209
 7-bit adder                                           : 1
9210
 8-bit adder                                           : 2
9211
 9-bit subtractor                                      : 1
9212
# Counters                                             : 7
9213
 2-bit up counter                                      : 3
9214
 6-bit up counter                                      : 2
9215
 7-bit up counter                                      : 1
9216
 8-bit up counter                                      : 1
9217
# Registers                                            : 622
9218
 1-bit register                                        : 427
9219
 11-bit register                                       : 2
9220
 12-bit register                                       : 2
9221
 14-bit register                                       : 1
9222
 15-bit register                                       : 8
9223
 16-bit register                                       : 1
9224
 18-bit register                                       : 6
9225
 19-bit register                                       : 1
9226
 2-bit register                                        : 36
9227
 20-bit register                                       : 1
9228
 22-bit register                                       : 1
9229
 25-bit register                                       : 1
9230
 28-bit register                                       : 1
9231
 3-bit register                                        : 25
9232
 30-bit register                                       : 2
9233
 32-bit register                                       : 34
9234
 33-bit register                                       : 2
9235
 35-bit register                                       : 1
9236
 4-bit register                                        : 20
9237
 5-bit register                                        : 19
9238
 6-bit register                                        : 6
9239
 64-bit register                                       : 3
9240
 65-bit register                                       : 1
9241
 66-bit register                                       : 1
9242
 7-bit register                                        : 1
9243
 8-bit register                                        : 19
9244
# Latches                                              : 1
9245
 1-bit latch                                           : 1
9246
# Comparators                                          : 143
9247
 12-bit comparator equal                               : 108
9248
 14-bit comparator equal                               : 1
9249
 14-bit comparator greater                             : 1
9250
 14-bit comparator lessequal                           : 1
9251
 14-bit comparator not equal                           : 1
9252
 2-bit comparator greatequal                           : 3
9253
 2-bit comparator not equal                            : 2
9254
 20-bit comparator equal                               : 4
9255
 22-bit comparator equal                               : 1
9256
 28-bit comparator equal                               : 1
9257
 3-bit comparator not equal                            : 1
9258
 32-bit comparator equal                               : 1
9259
 32-bit comparator less                                : 1
9260
 32-bit comparator not equal                           : 1
9261
 33-bit comparator equal                               : 2
9262
 5-bit comparator equal                                : 12
9263
 7-bit comparator equal                                : 1
9264
 8-bit comparator equal                                : 1
9265
# Multiplexers                                         : 223
9266
 1-bit 128-to-1 multiplexer                            : 1
9267
 1-bit 16-to-1 multiplexer                             : 2
9268
 1-bit 4-to-1 multiplexer                              : 177
9269
 1-bit 8-to-1 multiplexer                              : 5
9270
 10-bit 4-to-1 multiplexer                             : 1
9271
 13-bit 4-to-1 multiplexer                             : 1
9272
 2-bit 4-to-1 multiplexer                              : 1
9273
 3-bit 4-to-1 multiplexer                              : 4
9274
 32-bit 16-to-1 multiplexer                            : 2
9275
 32-bit 3-to-1 multiplexer                             : 1
9276
 32-bit 4-to-1 multiplexer                             : 15
9277
 32-bit 8-to-1 multiplexer                             : 10
9278
 4-bit 4-to-1 multiplexer                              : 1
9279
 8-bit 4-to-1 multiplexer                              : 2
9280
# Priority Encoders                                    : 2
9281
 32-bit 1-of-6 priority encoder                        : 2
9282
# Xors                                                 : 12
9283
 1-bit xor2                                            : 11
9284
 32-bit xor2                                           : 1
9285
 
9286
=========================================================================
9287
 
9288
=========================================================================
9289
*                       Advanced HDL Synthesis                          *
9290
=========================================================================
9291
 
9292
WARNING:Xst:2404 -  FFs/Latches > (without init value) have a constant value of 0 in block .
9293
 
9294
Synthesizing (advanced) Unit .
9295
INFO:Xst - The RAM  will be implemented as a BLOCK RAM, absorbing the following register(s): 
9296
    -----------------------------------------------------------------------
9297
    | ram_type           | Block                               |          |
9298
    -----------------------------------------------------------------------
9299
    | Port A                                                              |
9300
    |     aspect ratio   | 32-word x 32-bit                    |          |
9301
    |     mode           | write-first                         |          |
9302
    |     clkA           | connected to signal           | rise     |
9303
    |     weA            | connected to signal             | high     |
9304
    |     addrA          | connected to signal             |          |
9305
    |     diA            | connected to signal            |          |
9306
    -----------------------------------------------------------------------
9307
    | optimization       | speed                               |          |
9308
    -----------------------------------------------------------------------
9309
    | Port B                                                              |
9310
    |     aspect ratio   | 32-word x 32-bit                    |          |
9311
    |     mode           | write-first                         |          |
9312
    |     clkB           | connected to signal           | rise     |
9313
    |     enB            | connected to signal            | high     |
9314
    |     addrB          | connected to signal         |          |
9315
    |     doB            | connected to internal node          |          |
9316
    -----------------------------------------------------------------------
9317
    | optimization       | speed                               |          |
9318
    -----------------------------------------------------------------------
9319
INFO:Xst - The RAM  will be implemented as a BLOCK RAM, absorbing the following register(s): 
9320
    -----------------------------------------------------------------------
9321
    | ram_type           | Block                               |          |
9322
    -----------------------------------------------------------------------
9323
    | Port A                                                              |
9324
    |     aspect ratio   | 32-word x 32-bit                    |          |
9325
    |     mode           | write-first                         |          |
9326
    |     clkA           | connected to signal           | rise     |
9327
    |     weA            | connected to signal             | high     |
9328
    |     addrA          | connected to signal             |          |
9329
    |     diA            | connected to signal            |          |
9330
    -----------------------------------------------------------------------
9331
    | optimization       | speed                               |          |
9332
    -----------------------------------------------------------------------
9333
    | Port B                                                              |
9334
    |     aspect ratio   | 32-word x 32-bit                    |          |
9335
    |     mode           | write-first                         |          |
9336
    |     clkB           | connected to signal           | rise     |
9337
    |     enB            | connected to signal            | high     |
9338
    |     addrB          | connected to signal         |          |
9339
    |     doB            | connected to internal node          |          |
9340
    -----------------------------------------------------------------------
9341
    | optimization       | speed                               |          |
9342
    -----------------------------------------------------------------------
9343
Unit  synthesized (advanced).
9344
 
9345
Synthesizing (advanced) Unit .
9346
        Found pipelined multiplier on signal :
9347
                - 1 pipeline level(s) found in a register connected to the multiplier macro output.
9348
                Pushing register(s) into the multiplier macro.
9349
INFO:Xst:2385 - HDL ADVISOR - You can improve the performance of the multiplier Mmult_prod_mult0001 by adding 2 register level(s).
9350
Unit  synthesized (advanced).
9351
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9352
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9353
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9354
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9355
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9356
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9357
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9358
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9359
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9360
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9361
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9362
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9363
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9364
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9365
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9366
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9367
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9368
 
9369
=========================================================================
9370
Advanced HDL Synthesis Report
9371
 
9372
Macro Statistics
9373
# RAMs                                                 : 2
9374
 32x32-bit dual-port block RAM                         : 2
9375
# ROMs                                                 : 2
9376
 16x3-bit ROM                                          : 2
9377
# Multipliers                                          : 1
9378
 33x33-bit registered multiplier                       : 1
9379
# Adders/Subtractors                                   : 50
9380
 12-bit subtractor                                     : 1
9381
 15-bit subtractor                                     : 1
9382
 18-bit addsub                                         : 1
9383
 2-bit adder                                           : 5
9384
 3-bit adder                                           : 10
9385
 3-bit subtractor                                      : 4
9386
 30-bit adder                                          : 2
9387
 32-bit adder                                          : 5
9388
 32-bit adder carry out                                : 1
9389
 32-bit subtractor                                     : 1
9390
 33-bit adder                                          : 10
9391
 4-bit subtractor                                      : 3
9392
 5-bit adder                                           : 1
9393
 6-bit subtractor                                      : 1
9394
 7-bit adder                                           : 1
9395
 8-bit adder                                           : 2
9396
 9-bit subtractor                                      : 1
9397
# Counters                                             : 7
9398
 2-bit up counter                                      : 3
9399
 6-bit up counter                                      : 2
9400
 7-bit up counter                                      : 1
9401
 8-bit up counter                                      : 1
9402
# Registers                                            : 2785
9403
 Flip-Flops                                            : 2785
9404
# Latches                                              : 1
9405
 1-bit latch                                           : 1
9406
# Comparators                                          : 143
9407
 12-bit comparator equal                               : 108
9408
 14-bit comparator equal                               : 1
9409
 14-bit comparator greater                             : 1
9410
 14-bit comparator lessequal                           : 1
9411
 14-bit comparator not equal                           : 1
9412
 2-bit comparator greatequal                           : 3
9413
 2-bit comparator not equal                            : 2
9414
 20-bit comparator equal                               : 4
9415
 22-bit comparator equal                               : 1
9416
 28-bit comparator equal                               : 1
9417
 3-bit comparator not equal                            : 1
9418
 32-bit comparator equal                               : 1
9419
 32-bit comparator less                                : 1
9420
 32-bit comparator not equal                           : 1
9421
 33-bit comparator equal                               : 2
9422
 5-bit comparator equal                                : 12
9423
 7-bit comparator equal                                : 1
9424
 8-bit comparator equal                                : 1
9425
# Multiplexers                                         : 219
9426
 1-bit 128-to-1 multiplexer                            : 1
9427
 1-bit 16-to-1 multiplexer                             : 2
9428
 1-bit 4-to-1 multiplexer                              : 173
9429
 1-bit 8-to-1 multiplexer                              : 5
9430
 10-bit 4-to-1 multiplexer                             : 1
9431
 13-bit 4-to-1 multiplexer                             : 1
9432
 2-bit 4-to-1 multiplexer                              : 1
9433
 3-bit 4-to-1 multiplexer                              : 4
9434
 32-bit 16-to-1 multiplexer                            : 2
9435
 32-bit 3-to-1 multiplexer                             : 1
9436
 32-bit 4-to-1 multiplexer                             : 15
9437
 32-bit 8-to-1 multiplexer                             : 10
9438
 4-bit 4-to-1 multiplexer                              : 1
9439
 8-bit 4-to-1 multiplexer                              : 2
9440
# Priority Encoders                                    : 2
9441
 32-bit 1-of-6 priority encoder                        : 2
9442
# Xors                                                 : 12
9443
 1-bit xor2                                            : 11
9444
 32-bit xor2                                           : 1
9445
 
9446
=========================================================================
9447
 
9448
=========================================================================
9449
*                         Low Level Synthesis                           *
9450
=========================================================================
9451
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9452
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9453
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9454
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9455
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9456
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9457
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9458
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9459
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9460
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9461
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9462
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9463
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9464
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9465
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9466
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9467
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9468
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9469
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9470
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9471
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9472
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9473
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9474
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9475
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9476
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9477
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9478
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9479
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9480
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9481
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9482
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9483
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9484
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9485
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9486
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9487
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9488
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9489
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9490
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9491
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9492
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9493
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9494
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9495
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9496
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9497
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9498
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9499
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9500
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9501
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9502
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9503
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9504
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9505
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9506
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9507
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9508
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9509
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9510
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9511
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9512
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9513
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9514
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
9515
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
9516
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
9517
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9518
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9519
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9520
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9521
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9522
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9523
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9524
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9525
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9526
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9527
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9528
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9529
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9530
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9531
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9532
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9533
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9534
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9535
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9536
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9537
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9538
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9539
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9540
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9541
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9542
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9543
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9544
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9545
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9546
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9547
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9548
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9549
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9550
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9551
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9552
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9553
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9554
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9555
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9556
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9557
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9558
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9559
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9560
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9561
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9562
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9563
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9564
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9565
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9566
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9567
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9568
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9569
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9570
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9571
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9572
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9573
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9574
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9575
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9576
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9577
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9578
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9579
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9580
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9581
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9582
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9583
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9584
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9585
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9586
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9587
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9588
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9589
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9590
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9591
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9592
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9593
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9594
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9595
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9596
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9597
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9598
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9599
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9600
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9601
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9602
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9603
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9604
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9605
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9606
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9607
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9608
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9609
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9610
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9611
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9612
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9613
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9614
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9615
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9616
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
9617
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9618
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9619
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9620
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9621
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9622
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9623
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9624
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9625
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9626
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9627
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9628
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9629
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9630
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9631
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9632
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9633
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9634
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9635
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9636
 
9637
Optimizing unit  ...
9638
 
9639
Optimizing unit  ...
9640
 
9641
Optimizing unit  ...
9642
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9643
 
9644
Optimizing unit  ...
9645
 
9646
Optimizing unit  ...
9647
 
9648
Optimizing unit  ...
9649
 
9650
Optimizing unit  ...
9651
 
9652
Optimizing unit  ...
9653
 
9654
Optimizing unit  ...
9655
 
9656
Optimizing unit  ...
9657
 
9658
Optimizing unit  ...
9659
 
9660
Optimizing unit  ...
9661
 
9662
Optimizing unit  ...
9663
 
9664
Optimizing unit  ...
9665
 
9666
Optimizing unit  ...
9667
 
9668
Optimizing unit  ...
9669
 
9670
Optimizing unit  ...
9671
 
9672
Optimizing unit  ...
9673
 
9674
Optimizing unit  ...
9675
 
9676
Optimizing unit  ...
9677
 
9678
Optimizing unit  ...
9679
 
9680
Optimizing unit  ...
9681
 
9682
Optimizing unit  ...
9683
 
9684
Optimizing unit  ...
9685
 
9686
Optimizing unit  ...
9687
 
9688
Optimizing unit  ...
9689
 
9690
Optimizing unit  ...
9691
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9692
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9693
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9694
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9695
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9696
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9697
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9698
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9699
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9700
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9701
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
9702
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
9703
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
9704
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
9705
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
9706
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
9707
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
9708
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
9709
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
9710
 
9711
Optimizing unit  ...
9712
 
9713
Optimizing unit  ...
9714
 
9715
Optimizing unit  ...
9716
 
9717
Optimizing unit  ...
9718
 
9719
Optimizing unit  ...
9720
 
9721
Optimizing unit  ...
9722
 
9723
Optimizing unit  ...
9724
 
9725
Optimizing unit  ...
9726
 
9727
Optimizing unit  ...
9728
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9729
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9730
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9731
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9732
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9733
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9734
 
9735
Optimizing unit  ...
9736
 
9737
Optimizing unit  ...
9738
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9739
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9740
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9741
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9742
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9743
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
9744
 
9745
Optimizing unit  ...
9746
 
9747
Optimizing unit  ...
9748
 
9749
Optimizing unit  ...
9750
 
9751
Optimizing unit  ...
9752
 
9753
Optimizing unit  ...
9754
 
9755
Optimizing unit  ...
9756
 
9757
Optimizing unit  ...
9758
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9759
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9760
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9761
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9762
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9763
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9764
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9765
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9766
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9767
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9768
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9769
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9770
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9771
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9772
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9773
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9774
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9775
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9776
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9777
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9778
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9779
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9780
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9781
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9782
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9783
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9784
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9785
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9786
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9787
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9788
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9789
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9790
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9791
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9792
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9793
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9794
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9795
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9796
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9797
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9798
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9799
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9800
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9801
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9802
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9803
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9804
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9805
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9806
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9807
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9808
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9809
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9810
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
9811
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9812
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9813
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9814
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9815
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9816
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9817
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9818
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9819
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9820
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9821
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9822
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9823
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9824
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9825
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9826
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9827
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9828
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9829
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9830
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9831
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9832
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9833
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9834
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9835
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9836
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9837
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9838
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9839
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9840
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9841
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9842
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9843
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9844
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9845
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9846
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9847
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9848
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9849
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9850
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9851
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9852
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9853
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9854
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9855
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9856
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9857
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9858
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9859
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9860
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9861
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9862
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9863
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9864
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9865
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9866
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9867
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9868
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9869
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9870
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9871
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9872
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9873
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9874
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9875
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9876
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9877
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9878
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9879
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9880
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9881
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9882
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9883
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9884
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9885
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9886
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9887
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9888
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9889
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9890
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9891
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9892
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9893
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9894
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9895
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9896
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9897
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9898
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9899
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9900
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9901
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9902
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9903
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9904
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9905
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9906
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9907
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9908
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9909
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9910
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9911
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9912
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9913
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9914
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9915
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9916
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9917
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9918
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9919
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9920
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9921
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9922
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9923
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9924
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9925
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9926
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9927
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9928
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9929
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9930
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9931
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9932
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9933
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9934
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9935
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9936
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9937
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9938
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9939
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9940
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9941
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9942
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9943
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9944
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9945
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9946
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9947
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9948
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9949
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9950
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9951
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9952
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9953
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9954
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9955
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9956
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9957
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9958
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9959
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9960
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9961
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9962
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9963
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9964
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9965
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9966
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9967
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9968
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9969
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9970
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9971
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9972
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9973
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9974
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9975
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9976
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9977
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9978
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9979
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9980
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9981
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9982
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9983
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9984
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9985
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9986
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9987
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9988
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9989
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9990
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9991
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9992
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9993
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9994
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9995
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9996
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9997
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9998
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
9999
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10000
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10001
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10002
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10003
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10004
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10005
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10006
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10007
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10008
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10009
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10010
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10011
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10012
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10013
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10014
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10015
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10016
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10017
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10018
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10019
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10020
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10021
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10022
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10023
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10024
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10025
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10026
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10027
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10028
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10029
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10030
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10031
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10032
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10033
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10034
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10035
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10036
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10037
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10038
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10039
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10040
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10041
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10042
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10043
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10044
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10045
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10046
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10047
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10048
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10049
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10050
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10051
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10052
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10053
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10054
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10055
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10056
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10057
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10058
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10059
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10060
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10061
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10062
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10063
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10064
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10065
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10066
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10067
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10068
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10069
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10070
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10071
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10072
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10073
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10074
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10075
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10076
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10077
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10078
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10079
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10080
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10081
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10082
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10083
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10084
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10085
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10086
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10087
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10088
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10089
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10090
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10091
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10092
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10093
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10094
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10095
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10096
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10097
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10098
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10099
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10100
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10101
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10102
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10103
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10104
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10105
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10106
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10107
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10108
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10109
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10110
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10111
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10112
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10113
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10114
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10115
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10116
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10117
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10118
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10119
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10120
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10121
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10122
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10123
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10124
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10125
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10126
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10127
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10128
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10129
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10130
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10131
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10132
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10133
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10134
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10135
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10136
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10137
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10138
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10139
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10140
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10141
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10142
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10143
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10144
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10145
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10146
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10147
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10148
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10149
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10150
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10151
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10152
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10153
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10154
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10155
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10156
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10157
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10158
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10159
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10160
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10161
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10162
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10163
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10164
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10165
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10166
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10167
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10168
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10169
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10170
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10171
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10172
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10173
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10174
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10175
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10176
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10177
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10178
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10179
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10180
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10181
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10182
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10183
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10184
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10185
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10186
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10187
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10188
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10189
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10190
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10191
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10192
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10193
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10194
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10195
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10196
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10197
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10198
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10199
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10200
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10201
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
10202
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10203
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10204
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10205
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10206
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10207
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10208
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10209
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10210
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10211
WARNING:Xst:1898 - Due to constant pushing, FF/Latch  is unconnected in block .
10212
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
10213
WARNING:Xst:2398 - RAMs ,  are equivalent
10214
WARNING:Xst:2398 - RAMs ,  are equivalent
10215
WARNING:Xst:2398 - RAMs ,  are equivalent
10216
WARNING:Xst:2398 - RAMs ,  are equivalent
10217
 
10218
Mapping all equations...
10219
Building and optimizing final netlist ...
10220
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
10221
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
10222
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
10223
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
10224
Replicating register mctrl0/r.iosn_0 to handle IOB=TRUE attribute
10225
Replicating register mctrl0/r.read to handle IOB=TRUE attribute
10226
Replicating register mctrl0/sd0.sdctrl/r.rasn to handle IOB=TRUE attribute
10227
Replicating register mctrl0/sd0.sdctrl/r.sdwen to handle IOB=TRUE attribute
10228
Replicating register mctrl0/r.oen to handle IOB=TRUE attribute
10229
Replicating register mctrl0/sd0.sdctrl/r.casn to handle IOB=TRUE attribute
10230
Replicating register mctrl0/r.writen to handle IOB=TRUE attribute
10231
Replicating register dcomgen.dcom0/dcom_uart0/r.tshift_0 to handle IOB=TRUE attribute
10232
Replicating register gpio0.grgpio0/r.dir_17 to handle IOB=TRUE attribute
10233
Replicating register gpio0.grgpio0/r.dout_17 to handle IOB=TRUE attribute
10234
Replicating register gpio0.grgpio0/r.dir_16 to handle IOB=TRUE attribute
10235
Replicating register gpio0.grgpio0/r.dout_16 to handle IOB=TRUE attribute
10236
Replicating register gpio0.grgpio0/r.dir_15 to handle IOB=TRUE attribute
10237
Replicating register gpio0.grgpio0/r.dout_15 to handle IOB=TRUE attribute
10238
Replicating register gpio0.grgpio0/r.dir_14 to handle IOB=TRUE attribute
10239
Replicating register gpio0.grgpio0/r.dout_14 to handle IOB=TRUE attribute
10240
Replicating register gpio0.grgpio0/r.dir_13 to handle IOB=TRUE attribute
10241
Replicating register gpio0.grgpio0/r.dout_13 to handle IOB=TRUE attribute
10242
Replicating register gpio0.grgpio0/r.dir_12 to handle IOB=TRUE attribute
10243
Replicating register gpio0.grgpio0/r.dout_12 to handle IOB=TRUE attribute
10244
Replicating register gpio0.grgpio0/r.dir_11 to handle IOB=TRUE attribute
10245
Replicating register gpio0.grgpio0/r.dout_11 to handle IOB=TRUE attribute
10246
Replicating register gpio0.grgpio0/r.dir_10 to handle IOB=TRUE attribute
10247
Replicating register gpio0.grgpio0/r.dout_10 to handle IOB=TRUE attribute
10248
Replicating register gpio0.grgpio0/r.dir_9 to handle IOB=TRUE attribute
10249
Replicating register gpio0.grgpio0/r.dout_9 to handle IOB=TRUE attribute
10250
Replicating register gpio0.grgpio0/r.dir_8 to handle IOB=TRUE attribute
10251
Replicating register gpio0.grgpio0/r.dout_8 to handle IOB=TRUE attribute
10252
Replicating register gpio0.grgpio0/r.dir_7 to handle IOB=TRUE attribute
10253
Replicating register gpio0.grgpio0/r.dout_7 to handle IOB=TRUE attribute
10254
Replicating register gpio0.grgpio0/r.dir_6 to handle IOB=TRUE attribute
10255
Replicating register gpio0.grgpio0/r.dout_6 to handle IOB=TRUE attribute
10256
Replicating register gpio0.grgpio0/r.dir_5 to handle IOB=TRUE attribute
10257
Replicating register gpio0.grgpio0/r.dout_5 to handle IOB=TRUE attribute
10258
Replicating register gpio0.grgpio0/r.dir_4 to handle IOB=TRUE attribute
10259
Replicating register gpio0.grgpio0/r.dout_4 to handle IOB=TRUE attribute
10260
Replicating register gpio0.grgpio0/r.dir_3 to handle IOB=TRUE attribute
10261
Replicating register gpio0.grgpio0/r.dout_3 to handle IOB=TRUE attribute
10262
Replicating register gpio0.grgpio0/r.dir_2 to handle IOB=TRUE attribute
10263
Replicating register gpio0.grgpio0/r.dout_2 to handle IOB=TRUE attribute
10264
Replicating register gpio0.grgpio0/r.dir_1 to handle IOB=TRUE attribute
10265
Replicating register gpio0.grgpio0/r.dout_1 to handle IOB=TRUE attribute
10266
Replicating register gpio0.grgpio0/r.dir_0 to handle IOB=TRUE attribute
10267
Replicating register gpio0.grgpio0/r.dout_0 to handle IOB=TRUE attribute
10268
Replicating register mctrl0/r.bdrive_0 to handle IOB=TRUE attribute
10269
Replicating register mctrl0/r.writedata_31 to handle IOB=TRUE attribute
10270
Replicating register mctrl0/r.writedata_30 to handle IOB=TRUE attribute
10271
Replicating register mctrl0/r.writedata_29 to handle IOB=TRUE attribute
10272
Replicating register mctrl0/r.writedata_28 to handle IOB=TRUE attribute
10273
Replicating register mctrl0/r.writedata_27 to handle IOB=TRUE attribute
10274
Replicating register mctrl0/r.writedata_26 to handle IOB=TRUE attribute
10275
Replicating register mctrl0/r.writedata_25 to handle IOB=TRUE attribute
10276
Replicating register mctrl0/r.writedata_24 to handle IOB=TRUE attribute
10277
Replicating register mctrl0/r.bdrive_1 to handle IOB=TRUE attribute
10278
Replicating register mctrl0/r.writedata_23 to handle IOB=TRUE attribute
10279
Replicating register mctrl0/r.writedata_22 to handle IOB=TRUE attribute
10280
Replicating register mctrl0/r.writedata_21 to handle IOB=TRUE attribute
10281
Replicating register mctrl0/r.writedata_20 to handle IOB=TRUE attribute
10282
Replicating register mctrl0/r.writedata_19 to handle IOB=TRUE attribute
10283
Replicating register mctrl0/r.writedata_18 to handle IOB=TRUE attribute
10284
Replicating register mctrl0/r.writedata_17 to handle IOB=TRUE attribute
10285
Replicating register mctrl0/r.writedata_16 to handle IOB=TRUE attribute
10286
Replicating register mctrl0/r.bdrive_2 to handle IOB=TRUE attribute
10287
Replicating register mctrl0/r.writedata_15 to handle IOB=TRUE attribute
10288
Replicating register mctrl0/r.writedata_14 to handle IOB=TRUE attribute
10289
Replicating register mctrl0/r.writedata_13 to handle IOB=TRUE attribute
10290
Replicating register mctrl0/r.writedata_12 to handle IOB=TRUE attribute
10291
Replicating register mctrl0/r.writedata_11 to handle IOB=TRUE attribute
10292
Replicating register mctrl0/r.writedata_10 to handle IOB=TRUE attribute
10293
Replicating register mctrl0/r.writedata_9 to handle IOB=TRUE attribute
10294
Replicating register mctrl0/r.writedata_8 to handle IOB=TRUE attribute
10295
Replicating register mctrl0/r.bdrive_3 to handle IOB=TRUE attribute
10296
Replicating register mctrl0/r.writedata_7 to handle IOB=TRUE attribute
10297
Replicating register mctrl0/r.writedata_6 to handle IOB=TRUE attribute
10298
Replicating register mctrl0/r.writedata_5 to handle IOB=TRUE attribute
10299
Replicating register mctrl0/r.writedata_4 to handle IOB=TRUE attribute
10300
Replicating register mctrl0/r.writedata_3 to handle IOB=TRUE attribute
10301
Replicating register mctrl0/r.writedata_2 to handle IOB=TRUE attribute
10302
Replicating register mctrl0/r.writedata_1 to handle IOB=TRUE attribute
10303
Replicating register mctrl0/r.writedata_0 to handle IOB=TRUE attribute
10304
Replicating register mctrl0/sd0.sdctrl/r.sdcsn_1 to handle IOB=TRUE attribute
10305
Replicating register mctrl0/sd0.sdctrl/r.sdcsn_0 to handle IOB=TRUE attribute
10306
Replicating register mctrl0/sd0.sdctrl/r.dqm_3 to handle IOB=TRUE attribute
10307
Replicating register mctrl0/sd0.sdctrl/r.dqm_2 to handle IOB=TRUE attribute
10308
Replicating register mctrl0/sd0.sdctrl/r.dqm_1 to handle IOB=TRUE attribute
10309
Replicating register mctrl0/sd0.sdctrl/r.dqm_0 to handle IOB=TRUE attribute
10310
Replicating register mctrl0/r.ramsn_1 to handle IOB=TRUE attribute
10311
Replicating register mctrl0/r.ramsn_0 to handle IOB=TRUE attribute
10312
Replicating register mctrl0/r.address_27 to handle IOB=TRUE attribute
10313
Replicating register mctrl0/r.address_26 to handle IOB=TRUE attribute
10314
Replicating register mctrl0/r.address_25 to handle IOB=TRUE attribute
10315
Replicating register mctrl0/r.address_24 to handle IOB=TRUE attribute
10316
Replicating register mctrl0/r.address_23 to handle IOB=TRUE attribute
10317
Replicating register mctrl0/r.address_22 to handle IOB=TRUE attribute
10318
Replicating register mctrl0/r.address_21 to handle IOB=TRUE attribute
10319
Replicating register mctrl0/r.address_20 to handle IOB=TRUE attribute
10320
Replicating register mctrl0/r.address_19 to handle IOB=TRUE attribute
10321
Replicating register mctrl0/r.address_18 to handle IOB=TRUE attribute
10322
Replicating register mctrl0/r.address_17 to handle IOB=TRUE attribute
10323
Replicating register mctrl0/r.address_16 to handle IOB=TRUE attribute
10324
Replicating register mctrl0/r.address_15 to handle IOB=TRUE attribute
10325
Replicating register mctrl0/r.address_14 to handle IOB=TRUE attribute
10326
Replicating register mctrl0/r.address_13 to handle IOB=TRUE attribute
10327
Replicating register mctrl0/r.address_12 to handle IOB=TRUE attribute
10328
Replicating register mctrl0/r.address_11 to handle IOB=TRUE attribute
10329
Replicating register mctrl0/r.address_10 to handle IOB=TRUE attribute
10330
Replicating register mctrl0/r.address_9 to handle IOB=TRUE attribute
10331
Replicating register mctrl0/r.address_8 to handle IOB=TRUE attribute
10332
Replicating register mctrl0/r.address_7 to handle IOB=TRUE attribute
10333
Replicating register mctrl0/r.address_6 to handle IOB=TRUE attribute
10334
Replicating register mctrl0/r.address_5 to handle IOB=TRUE attribute
10335
Replicating register mctrl0/r.address_4 to handle IOB=TRUE attribute
10336
Replicating register mctrl0/r.address_3 to handle IOB=TRUE attribute
10337
Replicating register mctrl0/r.address_2 to handle IOB=TRUE attribute
10338
Replicating register mctrl0/r.address_1 to handle IOB=TRUE attribute
10339
Replicating register mctrl0/r.address_0 to handle IOB=TRUE attribute
10340
Replicating register mctrl0/r.romsn_1 to handle IOB=TRUE attribute
10341
Replicating register mctrl0/r.romsn_0 to handle IOB=TRUE attribute
10342
Replicating register mctrl0/r.ramoen_4 to handle IOB=TRUE attribute
10343
Replicating register mctrl0/r.ramoen_3 to handle IOB=TRUE attribute
10344
Replicating register mctrl0/r.ramoen_2 to handle IOB=TRUE attribute
10345
Replicating register mctrl0/r.ramoen_1 to handle IOB=TRUE attribute
10346
Replicating register mctrl0/r.ramoen_0 to handle IOB=TRUE attribute
10347
Replicating register mctrl0/r.wrn_3 to handle IOB=TRUE attribute
10348
Replicating register mctrl0/r.wrn_2 to handle IOB=TRUE attribute
10349
Replicating register mctrl0/r.wrn_1 to handle IOB=TRUE attribute
10350
Replicating register mctrl0/r.wrn_0 to handle IOB=TRUE attribute
10351
 
10352
FlipFlop l3.cpu[0].u0/p0/m0.c0/dcache0/r.req has been replicated 1 time(s)
10353
FlipFlop l3.cpu[0].u0/p0/m0.c0/icache0/r.req has been replicated 1 time(s)
10354
FlipFlop l3.cpu[0].u0/p0/mips/E1/decoder_pipe/pipereg/U12/wb_we_o_0 has been replicated 1 time(s)
10355
FlipFlop l3.cpu[0].u0/p0/mips/E1/decoder_pipe/pipereg/U18/wb_mux_ctl_o_0 has been replicated 1 time(s)
10356
FlipFlop l3.cpu[0].u0/p0/mips/E1/rnd_pass2/r5_o_2 has been replicated 1 time(s)
10357
FlipFlop l3.cpu[0].u0/p0/mips/E1/rnd_pass2/r5_o_3 has been replicated 1 time(s)
10358
 
10359
Final Macro Processing ...
10360
 
10361
Processing Unit  :
10362
        Found 2-bit shift register for signal .
10363
        Found 2-bit shift register for signal .
10364
        Found 4-bit shift register for signal .
10365
Unit  processed.
10366
 
10367
=========================================================================
10368
Final Register Report
10369
 
10370
Macro Statistics
10371
# Registers                                            : 2292
10372
 Flip-Flops                                            : 2292
10373
# Shift Registers                                      : 3
10374
 2-bit shift register                                  : 2
10375
 4-bit shift register                                  : 1
10376
 
10377
=========================================================================
10378
 
10379
=========================================================================
10380
*                           Partition Report                             *
10381
=========================================================================
10382
 
10383
Partition Implementation Status
10384
-------------------------------
10385
 
10386
  No Partitions were found in this design.
10387
 
10388
-------------------------------
10389
 
10390
=========================================================================
10391
*                            Final Report                               *
10392
=========================================================================
10393
Final Results
10394
Top Level Output File Name         : leon3mp
10395
Output Format                      : ngc
10396
Optimization Goal                  : SPEED
10397
Keep Hierarchy                     : no
10398
 
10399
Design Statistics
10400
# IOs                              : 264
10401
 
10402
Cell Usage :
10403
# BELS                             : 10621
10404
#      GND                         : 1
10405
#      INV                         : 86
10406
#      LUT1                        : 150
10407
#      LUT2                        : 781
10408
#      LUT2_D                      : 16
10409
#      LUT2_L                      : 8
10410
#      LUT3                        : 1627
10411
#      LUT3_D                      : 27
10412
#      LUT3_L                      : 65
10413
#      LUT4                        : 5068
10414
#      LUT4_D                      : 147
10415
#      LUT4_L                      : 524
10416
#      MULT_AND                    : 30
10417
#      MUXCY                       : 739
10418
#      MUXF5                       : 806
10419
#      MUXF6                       : 31
10420
#      MUXF7                       : 22
10421
#      VCC                         : 1
10422
#      XORCY                       : 492
10423
# FlipFlops/Latches                : 2300
10424
#      FD                          : 424
10425
#      FDC                         : 7
10426
#      FDE                         : 952
10427
#      FDP                         : 67
10428
#      FDPE                        : 36
10429
#      FDR                         : 78
10430
#      FDRE                        : 219
10431
#      FDRS                        : 30
10432
#      FDS                         : 416
10433
#      FDSE                        : 70
10434
#      LD                          : 1
10435
# RAMS                             : 13
10436
#      RAMB16_S18                  : 6
10437
#      RAMB16_S36_S36              : 7
10438
# Shift Registers                  : 3
10439
#      SRL16                       : 3
10440
# Clock Buffers                    : 2
10441
#      BUFG                        : 2
10442
# IO Buffers                       : 159
10443
#      IBUF                        : 9
10444
#      IBUFG                       : 2
10445
#      IOBUF                       : 54
10446
#      OBUF                        : 92
10447
#      OBUFT                       : 2
10448
# DCMs                             : 2
10449
#      DCM                         : 2
10450
# Others                           : 1
10451
#      BSCAN_SPARTAN3              : 1
10452
=========================================================================
10453
 
10454
Device utilization summary:
10455
---------------------------
10456
 
10457
Selected Device : 3s1500fg456-4
10458
 
10459
 Number of Slices:                     4538  out of  13312    34%
10460
 Number of Slice Flip Flops:           2086  out of  26624     7%
10461
 Number of 4 input LUTs:               8502  out of  26624    31%
10462
    Number used as logic:              8499
10463
    Number used as Shift registers:       3
10464
 Number of IOs:                         264
10465
 Number of bonded IOBs:                 159  out of    333    47%
10466
    IOB Flip Flops:                     214
10467
 Number of BRAMs:                        13  out of     32    40%
10468
 Number of GCLKs:                         2  out of      8    25%
10469
 Number of DCMs:                          2  out of      4    50%
10470
 
10471
---------------------------
10472
Partition Resource Summary:
10473
---------------------------
10474
 
10475
  No Partitions were found in this design.
10476
 
10477
---------------------------
10478
 
10479
 
10480
=========================================================================
10481
TIMING REPORT
10482
 
10483
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
10484
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
10485
      GENERATED AFTER PLACE-and-ROUTE.
10486
 
10487
Clock Information:
10488
------------------
10489
-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------+-------+
10490
Clock Signal                                                                                                                 | Clock buffer(FF name)                                     | Load  |
10491
-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------+-------+
10492
clk                                                                                                                          | clkgen0/xc3s.v/dll0:CLKFX                                 | 2309  |
10493
l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch_cmp_eq0000(l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch_cmp_eq00001:O)| NONE(*)(l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch)| 1     |
10494
-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------+-------+
10495
(*) This 1 clock signal(s) are generated by combinatorial logic,
10496
and XST is not able to identify which are the primary clock signals.
10497
Please use the CLOCK_SIGNAL constraint to specify the clock signal(s) generated by combinatorial logic.
10498
INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
10499
 
10500
Asynchronous Control Signals Information:
10501
----------------------------------------
10502
-----------------------------------------------------------------+-----------------------------------------+-------+
10503
Control Signal                                                   | Buffer(FF name)                         | Load  |
10504
-----------------------------------------------------------------+-----------------------------------------+-------+
10505
ahb0/rst_inv(ua1.uart1/rst_inv1_INV_0:O)                         | NONE(gpio0.grgpio0/r.dir_11)            | 100   |
10506
clkgen0/xc3s.v/dll0rst(rst0/rstoutraw_inv1_INV_0:O)              | NONE(rst0/r_0)                          | 6     |
10507
clkgen0/xc3s.v/dll0lock_inv(clkgen0/xc3s.v/dll0lock_inv1_INV_0:O)| NONE(clkgen0/xc3s.v/dll0lock_inv_shift2)| 4     |
10508
-----------------------------------------------------------------+-----------------------------------------+-------+
10509
 
10510
Timing Summary:
10511
---------------
10512
Speed Grade: -4
10513
 
10514
   Minimum period: 21.148ns (Maximum Frequency: 47.286MHz)
10515
   Minimum input arrival time before clock: 1.970ns
10516
   Maximum output required time after clock: 8.517ns
10517
   Maximum combinational path delay: No path found
10518
 
10519
Timing Detail:
10520
--------------
10521
All values displayed in nanoseconds (ns)
10522
 
10523
=========================================================================
10524
Timing constraint: Default period analysis for Clock 'clk'
10525
  Clock period: 21.148ns (frequency: 47.286MHz)
10526
  Total number of paths / destination ports: 22934353 / 4492
10527
-------------------------------------------------------------------------
10528
Delay:               26.435ns (Levels of Logic = 43)
10529
  Source:            l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rnt/q_4 (FF)
10530
  Destination:       l3.cpu[0].u0/p0/m0.c0/dcache0/r.hit (FF)
10531
  Source Clock:      clk rising 0.8X
10532
  Destination Clock: clk rising 0.8X
10533
 
10534
  Data Path: l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rnt/q_4 to l3.cpu[0].u0/p0/m0.c0/dcache0/r.hit
10535
                                Gate     Net
10536
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
10537
    ----------------------------------------  ------------
10538
     FDE:C->Q              2   0.720   1.216  l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rnt/q_4 (l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rnt/q_4)
10539
     LUT4:I0->O           17   0.551   1.371  l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rt/mux_fw_cmp_eq0001526 (l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rt/mux_fw_cmp_eq0001526)
10540
     LUT4:I3->O           51   0.551   1.988  l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rt/mux_fw_cmp_eq0001578 (l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rt/mux_fw_cmp_eq0001)
10541
     LUT4_D:I3->O         26   0.551   1.845  l3.cpu[0].u0/p0/mips/E1/iexec_stage/dmem_fw_mux/dout(0)11 (l3.cpu[0].u0/p0/mips/E1/BUS1196(1))
10542
     LUT4:I3->O            2   0.551   0.903  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxb/Mmux_b_o121_SW3 (N1796)
10543
     LUT4:I3->O           38   0.551   2.082  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxb/Mmux_b_o3945 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/BUS468(2))
10544
     LUT2:I1->O            1   0.551   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_lut(2) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_lut(2))
10545
     MUXCY:S->O            1   0.500   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(2) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(2))
10546
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(3) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(3))
10547
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(4) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(4))
10548
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(5) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(5))
10549
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(6) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(6))
10550
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(7) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(7))
10551
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(8) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(8))
10552
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(9) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(9))
10553
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(10) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(10))
10554
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(11) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(11))
10555
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(12) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(12))
10556
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(13) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(13))
10557
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(14) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(14))
10558
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(15) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(15))
10559
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(16) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(16))
10560
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(17) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(17))
10561
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(18) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(18))
10562
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(19) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(19))
10563
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(20) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(20))
10564
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(21) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(21))
10565
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(22) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(22))
10566
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(23) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(23))
10567
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(24) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(24))
10568
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(25) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(25))
10569
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(26) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(26))
10570
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(27) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(27))
10571
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(28) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(28))
10572
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(29) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_cy(29))
10573
     XORCY:CI->O           1   0.904   0.869  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0001_xor(30) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/alu_out_addsub0001(30))
10574
     LUT3:I2->O            1   0.551   0.869  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/alu_out_mux0001(30)1 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/alu_out_mux0001(30))
10575
     LUT3:I2->O            1   0.551   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0000_lut(30) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0000_lut(30))
10576
     MUXCY:S->O            0   0.500   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0000_cy(30) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0000_cy(30))
10577
     XORCY:CI->O           2   0.904   1.072  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/Madd_alu_out_addsub0000_xor(31) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_alu/alu_out_addsub0000(31))
10578
     LUT3:I1->O            7   0.551   1.134  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(31)465 (l3.cpu[0].u0/p0/dci_maddress(31))
10579
     LUT4:I2->O            1   0.551   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_lut(9) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_lut(9))
10580
     MUXCY:S->O            1   0.739   0.827  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(9) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(9))
10581
     LUT4:I3->O            1   0.551   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/r_hit_mux000033 (l3.cpu[0].u0/p0/m0.c0/dcache0/r_hit_mux0000)
10582
     FDR:D                     0.203          l3.cpu[0].u0/p0/m0.c0/dcache0/r.hit
10583
    ----------------------------------------
10584
    Total                     26.435ns (12.259ns logic, 14.176ns route)
10585
                                       (46.4% logic, 53.6% route)
10586
 
10587
=========================================================================
10588
Timing constraint: Default OFFSET IN BEFORE for Clock 'clk'
10589
  Total number of paths / destination ports: 65 / 63
10590
-------------------------------------------------------------------------
10591
Offset:              1.970ns (Levels of Logic = 1)
10592
  Source:            ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0:SEL1 (PAD)
10593
  Destination:       ahbjtaggen0.ahbjtag0/jtagcom0/r.tck_0 (FF)
10594
  Destination Clock: clk rising 0.8X
10595
 
10596
  Data Path: ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0:SEL1 to ahbjtaggen0.ahbjtag0/jtagcom0/r.tck_0
10597
                                Gate     Net
10598
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
10599
    ----------------------------------------  ------------
10600
    BSCAN_SPARTAN3:SEL1    2   0.000   1.216  ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0 (ahbjtaggen0.ahbjtag0/ltapo_asel)
10601
     LUT3:I0->O            1   0.551   0.000  ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/tapo_tck1 (ahbjtaggen0.ahbjtag0/tapo_tck)
10602
     FD:D                      0.203          ahbjtaggen0.ahbjtag0/jtagcom0/r.tck_0
10603
    ----------------------------------------
10604
    Total                      1.970ns (0.754ns logic, 1.216ns route)
10605
                                       (38.3% logic, 61.7% route)
10606
 
10607
=========================================================================
10608
Timing constraint: Default OFFSET OUT AFTER for Clock 'clk'
10609
  Total number of paths / destination ports: 164 / 110
10610
-------------------------------------------------------------------------
10611
Offset:              8.517ns (Levels of Logic = 2)
10612
  Source:            l3.dsugen.dsu0/x0/r.act (FF)
10613
  Destination:       dsuact (PAD)
10614
  Source Clock:      clk rising 0.8X
10615
 
10616
  Data Path: l3.dsugen.dsu0/x0/r.act to dsuact
10617
                                Gate     Net
10618
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
10619
    ----------------------------------------  ------------
10620
     FDR:C->Q              1   0.720   0.801  l3.dsugen.dsu0/x0/r.act (l3.dsugen.dsu0/x0/r.act)
10621
     INV:I->O              1   0.551   0.801  ndsuact1_INV_0 (ndsuact)
10622
     OBUF:I->O                 5.644          l3.dsugen.dsuact_pad/xcv.x0/ttl0.slow0.op (dsuact)
10623
    ----------------------------------------
10624
    Total                      8.517ns (6.915ns logic, 1.602ns route)
10625
                                       (81.2% logic, 18.8% route)
10626
 
10627
=========================================================================
10628
WARNING:Xst:616 - Invalid property "dont_touch TRUE": Did not attach to ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0.
10629
 
10630
 
10631
Total REAL time to Xst completion: 267.00 secs
10632
Total CPU time to Xst completion: 267.09 secs
10633
 
10634
-->
10635
 
10636
Total memory usage is 372220 kilobytes
10637
 
10638
Number of errors   :    0 (   0 filtered)
10639
Number of warnings : 3284 (   0 filtered)
10640
Number of infos    :   32 (   0 filtered)
10641
 
10642
../../bin/route_ngc leon3mp leon3mp.ucf xc3s1500-fg456-4 high ../../boards/gr-xc3s-1500/default.ut ../../netlists/xilinx/spartan3
10643
ngdbuild leon3mp.ngc -aul -uc leon3mp.ucf -p xc3s1500-fg456-4 -sd ../../netlists/xilinx/spartan3/xst -sd ../../netlists/xilinx/spartan3
10644
Release 11.1 - ngdbuild L.33 (nt)
10645
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
10646
 
10647
Command Line: c:\Xilinx\11.1\ISE\bin\nt\unwrapped\ngdbuild.exe leon3mp.ngc -aul
10648
-uc leon3mp.ucf -p xc3s1500-fg456-4 -sd ../../netlists/xilinx/spartan3/xst -sd
10649
../../netlists/xilinx/spartan3
10650
 
10651
Reading NGO file
10652
"c:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.ngc" ...
10653
Gathering constraint information from source properties...
10654
Done.
10655
 
10656
Applying constraints in "leon3mp.ucf" to the design...
10657
Resolving constraint associations...
10658
Checking Constraint Associations...
10659
INFO:ConstraintSystem:59 - Constraint 
10660
   DCM_X1Y0;> [leon3mp.ucf(32)]: INST "clkgen0_xc3s_v_dll0" not found.  Please
10661
   verify that:
10662
   1. The specified design element actually exists in the original design.
10663
   2. The specified object is spelled correctly in the constraint source file.
10664
 
10665
INFO:ConstraintSystem:59 - Constraint 
10666
   DCM_X0Y0;> [leon3mp.ucf(33)]: INST "clkgen0_xc3s_v_sd0_dll1" not found.
10667
   Please verify that:
10668
   1. The specified design element actually exists in the original design.
10669
   2. The specified object is spelled correctly in the constraint source file.
10670
 
10671
INFO:ConstraintSystem:59 - Constraint 
10672
   [leon3mp.ucf(34)]: INST "clkgen0_v_dll0" not found.  Please verify that:
10673
   1. The specified design element actually exists in the original design.
10674
   2. The specified object is spelled correctly in the constraint source file.
10675
 
10676
INFO:ConstraintSystem:59 - Constraint 
10677
   [leon3mp.ucf(35)]: INST "clkgen0_v_dll1" not found.  Please verify that:
10678
   1. The specified design element actually exists in the original design.
10679
   2. The specified object is spelled correctly in the constraint source file.
10680
 
10681
INFO:ConstraintSystem:59 - Constraint 
10682
   [leon3mp.ucf(36)]: INST "clkgen0/v/dll0" not found.  Please verify that:
10683
   1. The specified design element actually exists in the original design.
10684
   2. The specified object is spelled correctly in the constraint source file.
10685
 
10686
INFO:ConstraintSystem:59 - Constraint 
10687
   [leon3mp.ucf(37)]: INST "clkgen0/v/dll1" not found.  Please verify that:
10688
   1. The specified design element actually exists in the original design.
10689
   2. The specified object is spelled correctly in the constraint source file.
10690
 
10691
INFO:ConstraintSystem:59 - Constraint 
10692
   DCM_X1Y0;> [leon3mp.ucf(40)]: INST "clkgen0.xc3s.v.dll0" not found.  Please
10693
   verify that:
10694
   1. The specified design element actually exists in the original design.
10695
   2. The specified object is spelled correctly in the constraint source file.
10696
 
10697
INFO:ConstraintSystem:59 - Constraint 
10698
   DCM_X0Y0;> [leon3mp.ucf(41)]: INST "clkgen0.xc3s.v.sd0.dll1" not found.
10699
   Please verify that:
10700
   1. The specified design element actually exists in the original design.
10701
   2. The specified object is spelled correctly in the constraint source file.
10702
 
10703
INFO:ConstraintSystem:59 - Constraint 
10704
   LOC = "SLICE_X0Y62";> [leon3mp.ucf(53)]: INST
10705
   "spw.swloop.0.sw0/grspwc0/rxclko" not found.  Please verify that:
10706
   1. The specified design element actually exists in the original design.
10707
   2. The specified object is spelled correctly in the constraint source file.
10708
 
10709
INFO:ConstraintSystem:59 - Constraint 
10710
   LOC = "SLICE_X0Y62";> [leon3mp.ucf(54)]: INST
10711
   "spw.swloop[0].sw0/grspwc0/rxclko" not found.  Please verify that:
10712
   1. The specified design element actually exists in the original design.
10713
   2. The specified object is spelled correctly in the constraint source file.
10714
 
10715
INFO:ConstraintSystem:59 - Constraint 
10716
   LOC = "SLICE_X0Y48";> [leon3mp.ucf(55)]: INST
10717
   "spw.swloop.1.sw0/grspwc0/rxclko" not found.  Please verify that:
10718
   1. The specified design element actually exists in the original design.
10719
   2. The specified object is spelled correctly in the constraint source file.
10720
 
10721
INFO:ConstraintSystem:59 - Constraint 
10722
   LOC = "SLICE_X0Y48";> [leon3mp.ucf(56)]: INST
10723
   "spw.swloop[1].sw0/grspwc0/rxclko" not found.  Please verify that:
10724
   1. The specified design element actually exists in the original design.
10725
   2. The specified object is spelled correctly in the constraint source file.
10726
 
10727
INFO:ConstraintSystem:59 - Constraint 
10728
   LOC = "SLICE_X0Y24";> [leon3mp.ucf(57)]: INST
10729
   "spw.swloop.2.sw0/grspwc0/rxclko" not found.  Please verify that:
10730
   1. The specified design element actually exists in the original design.
10731
   2. The specified object is spelled correctly in the constraint source file.
10732
 
10733
INFO:ConstraintSystem:59 - Constraint 
10734
   LOC = "SLICE_X0Y24";> [leon3mp.ucf(58)]: INST
10735
   "spw.swloop[2].sw0/grspwc0/rxclko" not found.  Please verify that:
10736
   1. The specified design element actually exists in the original design.
10737
   2. The specified object is spelled correctly in the constraint source file.
10738
 
10739
INFO:ConstraintSystem:59 - Constraint 
10740
   SLICE_X0Y62;> [leon3mp.ucf(59)]: INST "sw00/grspwc0/rxclko" not found.
10741
   Please verify that:
10742
   1. The specified design element actually exists in the original design.
10743
   2. The specified object is spelled correctly in the constraint source file.
10744
 
10745
INFO:ConstraintSystem:59 - Constraint 
10746
   SLICE_X0Y48;> [leon3mp.ucf(60)]: INST "sw01/grspwc0/rxclko" not found.
10747
   Please verify that:
10748
   1. The specified design element actually exists in the original design.
10749
   2. The specified object is spelled correctly in the constraint source file.
10750
 
10751
INFO:ConstraintSystem:59 - Constraint 
10752
   SLICE_X0Y24;> [leon3mp.ucf(61)]: INST "sw02/grspwc0/rxclko" not found.
10753
   Please verify that:
10754
   1. The specified design element actually exists in the original design.
10755
   2. The specified object is spelled correctly in the constraint source file.
10756
 
10757
INFO:ConstraintSystem:59 - Constraint 
10758
   [leon3mp.ucf(94)]: NET "clk2" not found.  Please verify that:
10759
   1. The specified design element actually exists in the original design.
10760
   2. The specified object is spelled correctly in the constraint source file.
10761
 
10762
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(94)]:
10763
   NET "clk2" not found.  Please verify that:
10764
   1. The specified design element actually exists in the original design.
10765
   2. The specified object is spelled correctly in the constraint source file.
10766
 
10767
INFO:ConstraintSystem:59 - Constraint 
10768
   [leon3mp.ucf(130)]: NET "emdint" not found.  Please verify that:
10769
   1. The specified design element actually exists in the original design.
10770
   2. The specified object is spelled correctly in the constraint source file.
10771
 
10772
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(130)]:
10773
   NET "emdint" not found.  Please verify that:
10774
   1. The specified design element actually exists in the original design.
10775
   2. The specified object is spelled correctly in the constraint source file.
10776
 
10777
INFO:ConstraintSystem:59 - Constraint 
10778
   [leon3mp.ucf(149)]: NET "genio(0)" not found.  Please verify that:
10779
   1. The specified design element actually exists in the original design.
10780
   2. The specified object is spelled correctly in the constraint source file.
10781
 
10782
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(149)]:
10783
   NET "genio(0)" not found.  Please verify that:
10784
   1. The specified design element actually exists in the original design.
10785
   2. The specified object is spelled correctly in the constraint source file.
10786
 
10787
INFO:ConstraintSystem:59 - Constraint 
10788
   [leon3mp.ucf(150)]: NET "genio(1)" not found.  Please verify that:
10789
   1. The specified design element actually exists in the original design.
10790
   2. The specified object is spelled correctly in the constraint source file.
10791
 
10792
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(150)]:
10793
   NET "genio(1)" not found.  Please verify that:
10794
   1. The specified design element actually exists in the original design.
10795
   2. The specified object is spelled correctly in the constraint source file.
10796
 
10797
INFO:ConstraintSystem:59 - Constraint 
10798
   [leon3mp.ucf(151)]: NET "genio(10)" not found.  Please verify that:
10799
   1. The specified design element actually exists in the original design.
10800
   2. The specified object is spelled correctly in the constraint source file.
10801
 
10802
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(151)]:
10803
   NET "genio(10)" not found.  Please verify that:
10804
   1. The specified design element actually exists in the original design.
10805
   2. The specified object is spelled correctly in the constraint source file.
10806
 
10807
INFO:ConstraintSystem:59 - Constraint 
10808
   [leon3mp.ucf(152)]: NET "genio(11)" not found.  Please verify that:
10809
   1. The specified design element actually exists in the original design.
10810
   2. The specified object is spelled correctly in the constraint source file.
10811
 
10812
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(152)]:
10813
   NET "genio(11)" not found.  Please verify that:
10814
   1. The specified design element actually exists in the original design.
10815
   2. The specified object is spelled correctly in the constraint source file.
10816
 
10817
INFO:ConstraintSystem:59 - Constraint 
10818
   [leon3mp.ucf(153)]: NET "genio(12)" not found.  Please verify that:
10819
   1. The specified design element actually exists in the original design.
10820
   2. The specified object is spelled correctly in the constraint source file.
10821
 
10822
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(153)]:
10823
   NET "genio(12)" not found.  Please verify that:
10824
   1. The specified design element actually exists in the original design.
10825
   2. The specified object is spelled correctly in the constraint source file.
10826
 
10827
INFO:ConstraintSystem:59 - Constraint 
10828
   [leon3mp.ucf(154)]: NET "genio(13)" not found.  Please verify that:
10829
   1. The specified design element actually exists in the original design.
10830
   2. The specified object is spelled correctly in the constraint source file.
10831
 
10832
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(154)]:
10833
   NET "genio(13)" not found.  Please verify that:
10834
   1. The specified design element actually exists in the original design.
10835
   2. The specified object is spelled correctly in the constraint source file.
10836
 
10837
INFO:ConstraintSystem:59 - Constraint 
10838
   [leon3mp.ucf(155)]: NET "genio(14)" not found.  Please verify that:
10839
   1. The specified design element actually exists in the original design.
10840
   2. The specified object is spelled correctly in the constraint source file.
10841
 
10842
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(155)]:
10843
   NET "genio(14)" not found.  Please verify that:
10844
   1. The specified design element actually exists in the original design.
10845
   2. The specified object is spelled correctly in the constraint source file.
10846
 
10847
INFO:ConstraintSystem:59 - Constraint 
10848
   [leon3mp.ucf(156)]: NET "genio(15)" not found.  Please verify that:
10849
   1. The specified design element actually exists in the original design.
10850
   2. The specified object is spelled correctly in the constraint source file.
10851
 
10852
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(156)]:
10853
   NET "genio(15)" not found.  Please verify that:
10854
   1. The specified design element actually exists in the original design.
10855
   2. The specified object is spelled correctly in the constraint source file.
10856
 
10857
INFO:ConstraintSystem:59 - Constraint 
10858
   [leon3mp.ucf(157)]: NET "genio(16)" not found.  Please verify that:
10859
   1. The specified design element actually exists in the original design.
10860
   2. The specified object is spelled correctly in the constraint source file.
10861
 
10862
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(157)]:
10863
   NET "genio(16)" not found.  Please verify that:
10864
   1. The specified design element actually exists in the original design.
10865
   2. The specified object is spelled correctly in the constraint source file.
10866
 
10867
INFO:ConstraintSystem:59 - Constraint 
10868
   [leon3mp.ucf(158)]: NET "genio(17)" not found.  Please verify that:
10869
   1. The specified design element actually exists in the original design.
10870
   2. The specified object is spelled correctly in the constraint source file.
10871
 
10872
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(158)]:
10873
   NET "genio(17)" not found.  Please verify that:
10874
   1. The specified design element actually exists in the original design.
10875
   2. The specified object is spelled correctly in the constraint source file.
10876
 
10877
INFO:ConstraintSystem:59 - Constraint 
10878
   [leon3mp.ucf(159)]: NET "genio(18)" not found.  Please verify that:
10879
   1. The specified design element actually exists in the original design.
10880
   2. The specified object is spelled correctly in the constraint source file.
10881
 
10882
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(159)]:
10883
   NET "genio(18)" not found.  Please verify that:
10884
   1. The specified design element actually exists in the original design.
10885
   2. The specified object is spelled correctly in the constraint source file.
10886
 
10887
INFO:ConstraintSystem:59 - Constraint 
10888
   [leon3mp.ucf(160)]: NET "genio(19)" not found.  Please verify that:
10889
   1. The specified design element actually exists in the original design.
10890
   2. The specified object is spelled correctly in the constraint source file.
10891
 
10892
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(160)]:
10893
   NET "genio(19)" not found.  Please verify that:
10894
   1. The specified design element actually exists in the original design.
10895
   2. The specified object is spelled correctly in the constraint source file.
10896
 
10897
INFO:ConstraintSystem:59 - Constraint 
10898
   [leon3mp.ucf(161)]: NET "genio(2)" not found.  Please verify that:
10899
   1. The specified design element actually exists in the original design.
10900
   2. The specified object is spelled correctly in the constraint source file.
10901
 
10902
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(161)]:
10903
   NET "genio(2)" not found.  Please verify that:
10904
   1. The specified design element actually exists in the original design.
10905
   2. The specified object is spelled correctly in the constraint source file.
10906
 
10907
INFO:ConstraintSystem:59 - Constraint 
10908
   [leon3mp.ucf(162)]: NET "genio(20)" not found.  Please verify that:
10909
   1. The specified design element actually exists in the original design.
10910
   2. The specified object is spelled correctly in the constraint source file.
10911
 
10912
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(162)]:
10913
   NET "genio(20)" not found.  Please verify that:
10914
   1. The specified design element actually exists in the original design.
10915
   2. The specified object is spelled correctly in the constraint source file.
10916
 
10917
INFO:ConstraintSystem:59 - Constraint 
10918
   [leon3mp.ucf(163)]: NET "genio(21)" not found.  Please verify that:
10919
   1. The specified design element actually exists in the original design.
10920
   2. The specified object is spelled correctly in the constraint source file.
10921
 
10922
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(163)]:
10923
   NET "genio(21)" not found.  Please verify that:
10924
   1. The specified design element actually exists in the original design.
10925
   2. The specified object is spelled correctly in the constraint source file.
10926
 
10927
INFO:ConstraintSystem:59 - Constraint 
10928
   [leon3mp.ucf(164)]: NET "genio(22)" not found.  Please verify that:
10929
   1. The specified design element actually exists in the original design.
10930
   2. The specified object is spelled correctly in the constraint source file.
10931
 
10932
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(164)]:
10933
   NET "genio(22)" not found.  Please verify that:
10934
   1. The specified design element actually exists in the original design.
10935
   2. The specified object is spelled correctly in the constraint source file.
10936
 
10937
INFO:ConstraintSystem:59 - Constraint 
10938
   [leon3mp.ucf(165)]: NET "genio(23)" not found.  Please verify that:
10939
   1. The specified design element actually exists in the original design.
10940
   2. The specified object is spelled correctly in the constraint source file.
10941
 
10942
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(165)]:
10943
   NET "genio(23)" not found.  Please verify that:
10944
   1. The specified design element actually exists in the original design.
10945
   2. The specified object is spelled correctly in the constraint source file.
10946
 
10947
INFO:ConstraintSystem:59 - Constraint 
10948
   [leon3mp.ucf(166)]: NET "genio(24)" not found.  Please verify that:
10949
   1. The specified design element actually exists in the original design.
10950
   2. The specified object is spelled correctly in the constraint source file.
10951
 
10952
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(166)]:
10953
   NET "genio(24)" not found.  Please verify that:
10954
   1. The specified design element actually exists in the original design.
10955
   2. The specified object is spelled correctly in the constraint source file.
10956
 
10957
INFO:ConstraintSystem:59 - Constraint 
10958
   [leon3mp.ucf(167)]: NET "genio(25)" not found.  Please verify that:
10959
   1. The specified design element actually exists in the original design.
10960
   2. The specified object is spelled correctly in the constraint source file.
10961
 
10962
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(167)]:
10963
   NET "genio(25)" not found.  Please verify that:
10964
   1. The specified design element actually exists in the original design.
10965
   2. The specified object is spelled correctly in the constraint source file.
10966
 
10967
INFO:ConstraintSystem:59 - Constraint 
10968
   [leon3mp.ucf(168)]: NET "genio(26)" not found.  Please verify that:
10969
   1. The specified design element actually exists in the original design.
10970
   2. The specified object is spelled correctly in the constraint source file.
10971
 
10972
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(168)]:
10973
   NET "genio(26)" not found.  Please verify that:
10974
   1. The specified design element actually exists in the original design.
10975
   2. The specified object is spelled correctly in the constraint source file.
10976
 
10977
INFO:ConstraintSystem:59 - Constraint 
10978
   [leon3mp.ucf(169)]: NET "genio(27)" not found.  Please verify that:
10979
   1. The specified design element actually exists in the original design.
10980
   2. The specified object is spelled correctly in the constraint source file.
10981
 
10982
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(169)]:
10983
   NET "genio(27)" not found.  Please verify that:
10984
   1. The specified design element actually exists in the original design.
10985
   2. The specified object is spelled correctly in the constraint source file.
10986
 
10987
INFO:ConstraintSystem:59 - Constraint 
10988
   [leon3mp.ucf(170)]: NET "genio(28)" not found.  Please verify that:
10989
   1. The specified design element actually exists in the original design.
10990
   2. The specified object is spelled correctly in the constraint source file.
10991
 
10992
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(170)]:
10993
   NET "genio(28)" not found.  Please verify that:
10994
   1. The specified design element actually exists in the original design.
10995
   2. The specified object is spelled correctly in the constraint source file.
10996
 
10997
INFO:ConstraintSystem:59 - Constraint 
10998
   [leon3mp.ucf(171)]: NET "genio(29)" not found.  Please verify that:
10999
   1. The specified design element actually exists in the original design.
11000
   2. The specified object is spelled correctly in the constraint source file.
11001
 
11002
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(171)]:
11003
   NET "genio(29)" not found.  Please verify that:
11004
   1. The specified design element actually exists in the original design.
11005
   2. The specified object is spelled correctly in the constraint source file.
11006
 
11007
INFO:ConstraintSystem:59 - Constraint 
11008
   [leon3mp.ucf(172)]: NET "genio(3)" not found.  Please verify that:
11009
   1. The specified design element actually exists in the original design.
11010
   2. The specified object is spelled correctly in the constraint source file.
11011
 
11012
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(172)]:
11013
   NET "genio(3)" not found.  Please verify that:
11014
   1. The specified design element actually exists in the original design.
11015
   2. The specified object is spelled correctly in the constraint source file.
11016
 
11017
INFO:ConstraintSystem:59 - Constraint 
11018
   [leon3mp.ucf(173)]: NET "genio(30)" not found.  Please verify that:
11019
   1. The specified design element actually exists in the original design.
11020
   2. The specified object is spelled correctly in the constraint source file.
11021
 
11022
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(173)]:
11023
   NET "genio(30)" not found.  Please verify that:
11024
   1. The specified design element actually exists in the original design.
11025
   2. The specified object is spelled correctly in the constraint source file.
11026
 
11027
INFO:ConstraintSystem:59 - Constraint 
11028
   [leon3mp.ucf(174)]: NET "genio(31)" not found.  Please verify that:
11029
   1. The specified design element actually exists in the original design.
11030
   2. The specified object is spelled correctly in the constraint source file.
11031
 
11032
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(174)]:
11033
   NET "genio(31)" not found.  Please verify that:
11034
   1. The specified design element actually exists in the original design.
11035
   2. The specified object is spelled correctly in the constraint source file.
11036
 
11037
INFO:ConstraintSystem:59 - Constraint 
11038
   [leon3mp.ucf(175)]: NET "genio(32)" not found.  Please verify that:
11039
   1. The specified design element actually exists in the original design.
11040
   2. The specified object is spelled correctly in the constraint source file.
11041
 
11042
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(175)]:
11043
   NET "genio(32)" not found.  Please verify that:
11044
   1. The specified design element actually exists in the original design.
11045
   2. The specified object is spelled correctly in the constraint source file.
11046
 
11047
INFO:ConstraintSystem:59 - Constraint 
11048
   [leon3mp.ucf(176)]: NET "genio(33)" not found.  Please verify that:
11049
   1. The specified design element actually exists in the original design.
11050
   2. The specified object is spelled correctly in the constraint source file.
11051
 
11052
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(176)]:
11053
   NET "genio(33)" not found.  Please verify that:
11054
   1. The specified design element actually exists in the original design.
11055
   2. The specified object is spelled correctly in the constraint source file.
11056
 
11057
INFO:ConstraintSystem:59 - Constraint 
11058
   [leon3mp.ucf(177)]: NET "genio(34)" not found.  Please verify that:
11059
   1. The specified design element actually exists in the original design.
11060
   2. The specified object is spelled correctly in the constraint source file.
11061
 
11062
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(177)]:
11063
   NET "genio(34)" not found.  Please verify that:
11064
   1. The specified design element actually exists in the original design.
11065
   2. The specified object is spelled correctly in the constraint source file.
11066
 
11067
INFO:ConstraintSystem:59 - Constraint 
11068
   [leon3mp.ucf(178)]: NET "genio(35)" not found.  Please verify that:
11069
   1. The specified design element actually exists in the original design.
11070
   2. The specified object is spelled correctly in the constraint source file.
11071
 
11072
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(178)]:
11073
   NET "genio(35)" not found.  Please verify that:
11074
   1. The specified design element actually exists in the original design.
11075
   2. The specified object is spelled correctly in the constraint source file.
11076
 
11077
INFO:ConstraintSystem:59 - Constraint 
11078
   [leon3mp.ucf(179)]: NET "genio(36)" not found.  Please verify that:
11079
   1. The specified design element actually exists in the original design.
11080
   2. The specified object is spelled correctly in the constraint source file.
11081
 
11082
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(179)]:
11083
   NET "genio(36)" not found.  Please verify that:
11084
   1. The specified design element actually exists in the original design.
11085
   2. The specified object is spelled correctly in the constraint source file.
11086
 
11087
INFO:ConstraintSystem:59 - Constraint 
11088
   [leon3mp.ucf(180)]: NET "genio(37)" not found.  Please verify that:
11089
   1. The specified design element actually exists in the original design.
11090
   2. The specified object is spelled correctly in the constraint source file.
11091
 
11092
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(180)]:
11093
   NET "genio(37)" not found.  Please verify that:
11094
   1. The specified design element actually exists in the original design.
11095
   2. The specified object is spelled correctly in the constraint source file.
11096
 
11097
INFO:ConstraintSystem:59 - Constraint 
11098
   [leon3mp.ucf(181)]: NET "genio(38)" not found.  Please verify that:
11099
   1. The specified design element actually exists in the original design.
11100
   2. The specified object is spelled correctly in the constraint source file.
11101
 
11102
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(181)]:
11103
   NET "genio(38)" not found.  Please verify that:
11104
   1. The specified design element actually exists in the original design.
11105
   2. The specified object is spelled correctly in the constraint source file.
11106
 
11107
INFO:ConstraintSystem:59 - Constraint 
11108
   [leon3mp.ucf(182)]: NET "genio(39)" not found.  Please verify that:
11109
   1. The specified design element actually exists in the original design.
11110
   2. The specified object is spelled correctly in the constraint source file.
11111
 
11112
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(182)]:
11113
   NET "genio(39)" not found.  Please verify that:
11114
   1. The specified design element actually exists in the original design.
11115
   2. The specified object is spelled correctly in the constraint source file.
11116
 
11117
INFO:ConstraintSystem:59 - Constraint 
11118
   [leon3mp.ucf(183)]: NET "genio(4)" not found.  Please verify that:
11119
   1. The specified design element actually exists in the original design.
11120
   2. The specified object is spelled correctly in the constraint source file.
11121
 
11122
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(183)]:
11123
   NET "genio(4)" not found.  Please verify that:
11124
   1. The specified design element actually exists in the original design.
11125
   2. The specified object is spelled correctly in the constraint source file.
11126
 
11127
INFO:ConstraintSystem:59 - Constraint 
11128
   [leon3mp.ucf(184)]: NET "genio(40)" not found.  Please verify that:
11129
   1. The specified design element actually exists in the original design.
11130
   2. The specified object is spelled correctly in the constraint source file.
11131
 
11132
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(184)]:
11133
   NET "genio(40)" not found.  Please verify that:
11134
   1. The specified design element actually exists in the original design.
11135
   2. The specified object is spelled correctly in the constraint source file.
11136
 
11137
INFO:ConstraintSystem:59 - Constraint 
11138
   [leon3mp.ucf(185)]: NET "genio(41)" not found.  Please verify that:
11139
   1. The specified design element actually exists in the original design.
11140
   2. The specified object is spelled correctly in the constraint source file.
11141
 
11142
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(185)]:
11143
   NET "genio(41)" not found.  Please verify that:
11144
   1. The specified design element actually exists in the original design.
11145
   2. The specified object is spelled correctly in the constraint source file.
11146
 
11147
INFO:ConstraintSystem:59 - Constraint 
11148
   [leon3mp.ucf(186)]: NET "genio(42)" not found.  Please verify that:
11149
   1. The specified design element actually exists in the original design.
11150
   2. The specified object is spelled correctly in the constraint source file.
11151
 
11152
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(186)]:
11153
   NET "genio(42)" not found.  Please verify that:
11154
   1. The specified design element actually exists in the original design.
11155
   2. The specified object is spelled correctly in the constraint source file.
11156
 
11157
INFO:ConstraintSystem:59 - Constraint 
11158
   [leon3mp.ucf(187)]: NET "genio(43)" not found.  Please verify that:
11159
   1. The specified design element actually exists in the original design.
11160
   2. The specified object is spelled correctly in the constraint source file.
11161
 
11162
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(187)]:
11163
   NET "genio(43)" not found.  Please verify that:
11164
   1. The specified design element actually exists in the original design.
11165
   2. The specified object is spelled correctly in the constraint source file.
11166
 
11167
INFO:ConstraintSystem:59 - Constraint 
11168
   [leon3mp.ucf(188)]: NET "genio(44)" not found.  Please verify that:
11169
   1. The specified design element actually exists in the original design.
11170
   2. The specified object is spelled correctly in the constraint source file.
11171
 
11172
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(188)]:
11173
   NET "genio(44)" not found.  Please verify that:
11174
   1. The specified design element actually exists in the original design.
11175
   2. The specified object is spelled correctly in the constraint source file.
11176
 
11177
INFO:ConstraintSystem:59 - Constraint 
11178
   [leon3mp.ucf(189)]: NET "genio(45)" not found.  Please verify that:
11179
   1. The specified design element actually exists in the original design.
11180
   2. The specified object is spelled correctly in the constraint source file.
11181
 
11182
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(189)]:
11183
   NET "genio(45)" not found.  Please verify that:
11184
   1. The specified design element actually exists in the original design.
11185
   2. The specified object is spelled correctly in the constraint source file.
11186
 
11187
INFO:ConstraintSystem:59 - Constraint 
11188
   [leon3mp.ucf(190)]: NET "genio(46)" not found.  Please verify that:
11189
   1. The specified design element actually exists in the original design.
11190
   2. The specified object is spelled correctly in the constraint source file.
11191
 
11192
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(190)]:
11193
   NET "genio(46)" not found.  Please verify that:
11194
   1. The specified design element actually exists in the original design.
11195
   2. The specified object is spelled correctly in the constraint source file.
11196
 
11197
INFO:ConstraintSystem:59 - Constraint 
11198
   [leon3mp.ucf(191)]: NET "genio(47)" not found.  Please verify that:
11199
   1. The specified design element actually exists in the original design.
11200
   2. The specified object is spelled correctly in the constraint source file.
11201
 
11202
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(191)]:
11203
   NET "genio(47)" not found.  Please verify that:
11204
   1. The specified design element actually exists in the original design.
11205
   2. The specified object is spelled correctly in the constraint source file.
11206
 
11207
INFO:ConstraintSystem:59 - Constraint 
11208
   [leon3mp.ucf(192)]: NET "genio(48)" not found.  Please verify that:
11209
   1. The specified design element actually exists in the original design.
11210
   2. The specified object is spelled correctly in the constraint source file.
11211
 
11212
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(192)]:
11213
   NET "genio(48)" not found.  Please verify that:
11214
   1. The specified design element actually exists in the original design.
11215
   2. The specified object is spelled correctly in the constraint source file.
11216
 
11217
INFO:ConstraintSystem:59 - Constraint 
11218
   [leon3mp.ucf(193)]: NET "genio(49)" not found.  Please verify that:
11219
   1. The specified design element actually exists in the original design.
11220
   2. The specified object is spelled correctly in the constraint source file.
11221
 
11222
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(193)]:
11223
   NET "genio(49)" not found.  Please verify that:
11224
   1. The specified design element actually exists in the original design.
11225
   2. The specified object is spelled correctly in the constraint source file.
11226
 
11227
INFO:ConstraintSystem:59 - Constraint 
11228
   [leon3mp.ucf(194)]: NET "genio(5)" not found.  Please verify that:
11229
   1. The specified design element actually exists in the original design.
11230
   2. The specified object is spelled correctly in the constraint source file.
11231
 
11232
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(194)]:
11233
   NET "genio(5)" not found.  Please verify that:
11234
   1. The specified design element actually exists in the original design.
11235
   2. The specified object is spelled correctly in the constraint source file.
11236
 
11237
INFO:ConstraintSystem:59 - Constraint 
11238
   [leon3mp.ucf(195)]: NET "genio(50)" not found.  Please verify that:
11239
   1. The specified design element actually exists in the original design.
11240
   2. The specified object is spelled correctly in the constraint source file.
11241
 
11242
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(195)]:
11243
   NET "genio(50)" not found.  Please verify that:
11244
   1. The specified design element actually exists in the original design.
11245
   2. The specified object is spelled correctly in the constraint source file.
11246
 
11247
INFO:ConstraintSystem:59 - Constraint 
11248
   [leon3mp.ucf(196)]: NET "genio(51)" not found.  Please verify that:
11249
   1. The specified design element actually exists in the original design.
11250
   2. The specified object is spelled correctly in the constraint source file.
11251
 
11252
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(196)]:
11253
   NET "genio(51)" not found.  Please verify that:
11254
   1. The specified design element actually exists in the original design.
11255
   2. The specified object is spelled correctly in the constraint source file.
11256
 
11257
INFO:ConstraintSystem:59 - Constraint 
11258
   [leon3mp.ucf(197)]: NET "genio(52)" not found.  Please verify that:
11259
   1. The specified design element actually exists in the original design.
11260
   2. The specified object is spelled correctly in the constraint source file.
11261
 
11262
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(197)]:
11263
   NET "genio(52)" not found.  Please verify that:
11264
   1. The specified design element actually exists in the original design.
11265
   2. The specified object is spelled correctly in the constraint source file.
11266
 
11267
INFO:ConstraintSystem:59 - Constraint 
11268
   [leon3mp.ucf(198)]: NET "genio(53)" not found.  Please verify that:
11269
   1. The specified design element actually exists in the original design.
11270
   2. The specified object is spelled correctly in the constraint source file.
11271
 
11272
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(198)]:
11273
   NET "genio(53)" not found.  Please verify that:
11274
   1. The specified design element actually exists in the original design.
11275
   2. The specified object is spelled correctly in the constraint source file.
11276
 
11277
INFO:ConstraintSystem:59 - Constraint 
11278
   [leon3mp.ucf(199)]: NET "genio(54)" not found.  Please verify that:
11279
   1. The specified design element actually exists in the original design.
11280
   2. The specified object is spelled correctly in the constraint source file.
11281
 
11282
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(199)]:
11283
   NET "genio(54)" not found.  Please verify that:
11284
   1. The specified design element actually exists in the original design.
11285
   2. The specified object is spelled correctly in the constraint source file.
11286
 
11287
INFO:ConstraintSystem:59 - Constraint 
11288
   [leon3mp.ucf(200)]: NET "genio(55)" not found.  Please verify that:
11289
   1. The specified design element actually exists in the original design.
11290
   2. The specified object is spelled correctly in the constraint source file.
11291
 
11292
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(200)]:
11293
   NET "genio(55)" not found.  Please verify that:
11294
   1. The specified design element actually exists in the original design.
11295
   2. The specified object is spelled correctly in the constraint source file.
11296
 
11297
INFO:ConstraintSystem:59 - Constraint 
11298
   [leon3mp.ucf(201)]: NET "genio(56)" not found.  Please verify that:
11299
   1. The specified design element actually exists in the original design.
11300
   2. The specified object is spelled correctly in the constraint source file.
11301
 
11302
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(201)]:
11303
   NET "genio(56)" not found.  Please verify that:
11304
   1. The specified design element actually exists in the original design.
11305
   2. The specified object is spelled correctly in the constraint source file.
11306
 
11307
INFO:ConstraintSystem:59 - Constraint 
11308
   [leon3mp.ucf(202)]: NET "genio(57)" not found.  Please verify that:
11309
   1. The specified design element actually exists in the original design.
11310
   2. The specified object is spelled correctly in the constraint source file.
11311
 
11312
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(202)]:
11313
   NET "genio(57)" not found.  Please verify that:
11314
   1. The specified design element actually exists in the original design.
11315
   2. The specified object is spelled correctly in the constraint source file.
11316
 
11317
INFO:ConstraintSystem:59 - Constraint 
11318
   [leon3mp.ucf(203)]: NET "genio(58)" not found.  Please verify that:
11319
   1. The specified design element actually exists in the original design.
11320
   2. The specified object is spelled correctly in the constraint source file.
11321
 
11322
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(203)]:
11323
   NET "genio(58)" not found.  Please verify that:
11324
   1. The specified design element actually exists in the original design.
11325
   2. The specified object is spelled correctly in the constraint source file.
11326
 
11327
INFO:ConstraintSystem:59 - Constraint 
11328
   [leon3mp.ucf(204)]: NET "genio(59)" not found.  Please verify that:
11329
   1. The specified design element actually exists in the original design.
11330
   2. The specified object is spelled correctly in the constraint source file.
11331
 
11332
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(204)]:
11333
   NET "genio(59)" not found.  Please verify that:
11334
   1. The specified design element actually exists in the original design.
11335
   2. The specified object is spelled correctly in the constraint source file.
11336
 
11337
INFO:ConstraintSystem:59 - Constraint 
11338
   [leon3mp.ucf(205)]: NET "genio(6)" not found.  Please verify that:
11339
   1. The specified design element actually exists in the original design.
11340
   2. The specified object is spelled correctly in the constraint source file.
11341
 
11342
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(205)]:
11343
   NET "genio(6)" not found.  Please verify that:
11344
   1. The specified design element actually exists in the original design.
11345
   2. The specified object is spelled correctly in the constraint source file.
11346
 
11347
INFO:ConstraintSystem:59 - Constraint 
11348
   [leon3mp.ucf(206)]: NET "genio(7)" not found.  Please verify that:
11349
   1. The specified design element actually exists in the original design.
11350
   2. The specified object is spelled correctly in the constraint source file.
11351
 
11352
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(206)]:
11353
   NET "genio(7)" not found.  Please verify that:
11354
   1. The specified design element actually exists in the original design.
11355
   2. The specified object is spelled correctly in the constraint source file.
11356
 
11357
INFO:ConstraintSystem:59 - Constraint 
11358
   [leon3mp.ucf(207)]: NET "genio(8)" not found.  Please verify that:
11359
   1. The specified design element actually exists in the original design.
11360
   2. The specified object is spelled correctly in the constraint source file.
11361
 
11362
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(207)]:
11363
   NET "genio(8)" not found.  Please verify that:
11364
   1. The specified design element actually exists in the original design.
11365
   2. The specified object is spelled correctly in the constraint source file.
11366
 
11367
INFO:ConstraintSystem:59 - Constraint 
11368
   [leon3mp.ucf(208)]: NET "genio(9)" not found.  Please verify that:
11369
   1. The specified design element actually exists in the original design.
11370
   2. The specified object is spelled correctly in the constraint source file.
11371
 
11372
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(208)]:
11373
   NET "genio(9)" not found.  Please verify that:
11374
   1. The specified design element actually exists in the original design.
11375
   2. The specified object is spelled correctly in the constraint source file.
11376
 
11377
INFO:ConstraintSystem:59 - Constraint 
11378
   [leon3mp.ucf(318)]: NET "switch(0)" not found.  Please verify that:
11379
   1. The specified design element actually exists in the original design.
11380
   2. The specified object is spelled correctly in the constraint source file.
11381
 
11382
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(318)]:
11383
   NET "switch(0)" not found.  Please verify that:
11384
   1. The specified design element actually exists in the original design.
11385
   2. The specified object is spelled correctly in the constraint source file.
11386
 
11387
INFO:ConstraintSystem:59 - Constraint 
11388
   [leon3mp.ucf(319)]: NET "switch(1)" not found.  Please verify that:
11389
   1. The specified design element actually exists in the original design.
11390
   2. The specified object is spelled correctly in the constraint source file.
11391
 
11392
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(319)]:
11393
   NET "switch(1)" not found.  Please verify that:
11394
   1. The specified design element actually exists in the original design.
11395
   2. The specified object is spelled correctly in the constraint source file.
11396
 
11397
INFO:ConstraintSystem:59 - Constraint 
11398
   [leon3mp.ucf(322)]: NET "switch(2)" not found.  Please verify that:
11399
   1. The specified design element actually exists in the original design.
11400
   2. The specified object is spelled correctly in the constraint source file.
11401
 
11402
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(322)]:
11403
   NET "switch(2)" not found.  Please verify that:
11404
   1. The specified design element actually exists in the original design.
11405
   2. The specified object is spelled correctly in the constraint source file.
11406
 
11407
INFO:ConstraintSystem:59 - Constraint 
11408
   [leon3mp.ucf(323)]: NET "switch(3)" not found.  Please verify that:
11409
   1. The specified design element actually exists in the original design.
11410
   2. The specified object is spelled correctly in the constraint source file.
11411
 
11412
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(323)]:
11413
   NET "switch(3)" not found.  Please verify that:
11414
   1. The specified design element actually exists in the original design.
11415
   2. The specified object is spelled correctly in the constraint source file.
11416
 
11417
INFO:ConstraintSystem:59 - Constraint 
11418
   [leon3mp.ucf(324)]: NET "switch(4)" not found.  Please verify that:
11419
   1. The specified design element actually exists in the original design.
11420
   2. The specified object is spelled correctly in the constraint source file.
11421
 
11422
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(324)]:
11423
   NET "switch(4)" not found.  Please verify that:
11424
   1. The specified design element actually exists in the original design.
11425
   2. The specified object is spelled correctly in the constraint source file.
11426
 
11427
INFO:ConstraintSystem:59 - Constraint 
11428
   [leon3mp.ucf(325)]: NET "switch(5)" not found.  Please verify that:
11429
   1. The specified design element actually exists in the original design.
11430
   2. The specified object is spelled correctly in the constraint source file.
11431
 
11432
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(325)]:
11433
   NET "switch(5)" not found.  Please verify that:
11434
   1. The specified design element actually exists in the original design.
11435
   2. The specified object is spelled correctly in the constraint source file.
11436
 
11437
INFO:ConstraintSystem:59 - Constraint 
11438
   [leon3mp.ucf(326)]: NET "switch(6)" not found.  Please verify that:
11439
   1. The specified design element actually exists in the original design.
11440
   2. The specified object is spelled correctly in the constraint source file.
11441
 
11442
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(326)]:
11443
   NET "switch(6)" not found.  Please verify that:
11444
   1. The specified design element actually exists in the original design.
11445
   2. The specified object is spelled correctly in the constraint source file.
11446
 
11447
INFO:ConstraintSystem:59 - Constraint 
11448
   [leon3mp.ucf(328)]: NET "switch(8)" not found.  Please verify that:
11449
   1. The specified design element actually exists in the original design.
11450
   2. The specified object is spelled correctly in the constraint source file.
11451
 
11452
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(328)]:
11453
   NET "switch(8)" not found.  Please verify that:
11454
   1. The specified design element actually exists in the original design.
11455
   2. The specified object is spelled correctly in the constraint source file.
11456
 
11457
INFO:ConstraintSystem:59 - Constraint 
11458
   [leon3mp.ucf(333)]: NET "usb_clock" not found.  Please verify that:
11459
   1. The specified design element actually exists in the original design.
11460
   2. The specified object is spelled correctly in the constraint source file.
11461
 
11462
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(333)]:
11463
   NET "usb_clock" not found.  Please verify that:
11464
   1. The specified design element actually exists in the original design.
11465
   2. The specified object is spelled correctly in the constraint source file.
11466
 
11467
INFO:ConstraintSystem:59 - Constraint 
11468
   [leon3mp.ucf(350)]: NET "usb_enablen" not found.  Please verify that:
11469
   1. The specified design element actually exists in the original design.
11470
   2. The specified object is spelled correctly in the constraint source file.
11471
 
11472
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(350)]:
11473
   NET "usb_enablen" not found.  Please verify that:
11474
   1. The specified design element actually exists in the original design.
11475
   2. The specified object is spelled correctly in the constraint source file.
11476
 
11477
INFO:ConstraintSystem:59 - Constraint 
11478
   [leon3mp.ucf(351)]: NET "usb_faultn" not found.  Please verify that:
11479
   1. The specified design element actually exists in the original design.
11480
   2. The specified object is spelled correctly in the constraint source file.
11481
 
11482
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(351)]:
11483
   NET "usb_faultn" not found.  Please verify that:
11484
   1. The specified design element actually exists in the original design.
11485
   2. The specified object is spelled correctly in the constraint source file.
11486
 
11487
INFO:ConstraintSystem:59 - Constraint 
11488
   [leon3mp.ucf(394)]: NET "vid_sda" not found.  Please verify that:
11489
   1. The specified design element actually exists in the original design.
11490
   2. The specified object is spelled correctly in the constraint source file.
11491
 
11492
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(394)]:
11493
   NET "vid_sda" not found.  Please verify that:
11494
   1. The specified design element actually exists in the original design.
11495
   2. The specified object is spelled correctly in the constraint source file.
11496
 
11497
INFO:ConstraintSystem:59 - Constraint 
11498
   [leon3mp.ucf(395)]: NET "vid_sdc" not found.  Please verify that:
11499
   1. The specified design element actually exists in the original design.
11500
   2. The specified object is spelled correctly in the constraint source file.
11501
 
11502
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(395)]:
11503
   NET "vid_sdc" not found.  Please verify that:
11504
   1. The specified design element actually exists in the original design.
11505
   2. The specified object is spelled correctly in the constraint source file.
11506
 
11507
INFO:ConstraintSystem:59 - Constraint 
11508
   [leon3mp.ucf(436)]: NET "ata_dasp" not found.  Please verify that:
11509
   1. The specified design element actually exists in the original design.
11510
   2. The specified object is spelled correctly in the constraint source file.
11511
 
11512
INFO:ConstraintSystem:59 - Constraint  [leon3mp.ucf(436)]:
11513
   NET "ata_dasp" not found.  Please verify that:
11514
   1. The specified design element actually exists in the original design.
11515
   2. The specified object is spelled correctly in the constraint source file.
11516
 
11517
Done...
11518
 
11519
Checking expanded design ...
11520
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(4)' has no legal driver
11521
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(5)' has no legal driver
11522
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(6)' has no legal driver
11523
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(7)' has no legal driver
11524
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(10)' has no legal driver
11525
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(8)' has no legal driver
11526
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(11)' has no legal driver
11527
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(9)' has no legal driver
11528
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(12)' has no legal driver
11529
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(0)' has no legal driver
11530
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(13)' has no legal driver
11531
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(1)' has no legal driver
11532
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(14)' has no legal driver
11533
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(2)' has no legal driver
11534
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(15)' has no legal driver
11535
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(3)' has no legal driver
11536
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(4)' has no legal driver
11537
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(5)' has no legal driver
11538
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(6)' has no legal driver
11539
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(7)' has no legal driver
11540
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(8)' has no legal driver
11541
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(9)' has no legal driver
11542
WARNING:NgdBuild:470 - bidirect pad net 'emdio' has no legal driver
11543
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(10)' has no legal driver
11544
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(11)' has no legal driver
11545
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(12)' has no legal driver
11546
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(13)' has no legal driver
11547
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(14)' has no legal driver
11548
WARNING:NgdBuild:470 - bidirect pad net 'ata_data(15)' has no legal driver
11549
WARNING:NgdBuild:470 - bidirect pad net 'usb_validh' has no legal driver
11550
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(0)' has no legal driver
11551
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(1)' has no legal driver
11552
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(2)' has no legal driver
11553
WARNING:NgdBuild:470 - bidirect pad net 'usb_d(3)' has no legal driver
11554
 
11555
Partition Implementation Status
11556
-------------------------------
11557
 
11558
  No Partitions were found in this design.
11559
 
11560
-------------------------------
11561
 
11562
NGDBUILD Design Results Summary:
11563
  Number of errors:     0
11564
  Number of warnings:  34
11565
 
11566
Writing NGD file "leon3mp.ngd" ...
11567
Total REAL time to NGDBUILD completion:  7 sec
11568
Total CPU time to NGDBUILD completion:   7 sec
11569
 
11570
Writing NGDBUILD log file "leon3mp.bld"...
11571
 
11572
NGDBUILD done.
11573
map -pr b -p xc3s1500-fg456-4 leon3mp
11574
Release 11.1 - Map L.33 (nt)
11575
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
11576
Using target part "3s1500fg456-4".
11577
WARNING:LIT:243 - Logical network clk50 has no load.
11578
WARNING:LIT:395 - The above warning message base_net_load_rule is repeated 73
11579
   more times for the following (max. 5 shown):
11580
   spw_clk,
11581
   usb_d(4)_IBUF,
11582
   usb_d(5)_IBUF,
11583
   usb_d(6)_IBUF,
11584
   usb_d(7)_IBUF
11585
   To see the details of these warning messages, please use the -detail switch.
11586
Mapping design into LUTs...
11587
WARNING:MapLib:160 - Cannot push net timing constraints on signal clk3 through
11588
   input buffer. Timing constraints on that signal will be lost.
11589
WARNING:MapLib:701 - Signal clk3 connected to top level port clk3 has been
11590
   removed.
11591
WARNING:MapLib:701 - Signal wdogn connected to top level port wdogn has been
11592
   removed.
11593
WARNING:MapLib:701 - Signal ps2data(1) connected to top level port ps2data(1)
11594
   has been removed.
11595
WARNING:MapLib:701 - Signal ps2clk(1) connected to top level port ps2clk(1) has
11596
   been removed.
11597
WARNING:MapLib:701 - Signal ps2data(0) connected to top level port ps2data(0)
11598
   has been removed.
11599
WARNING:MapLib:701 - Signal ps2clk(0) connected to top level port ps2clk(0) has
11600
   been removed.
11601
WARNING:MapLib:39 - The timing specification "PERIOD=40000 pS HIGH 50%" on net
11602
   "clk3" has been discarded, because the net was optimized out of the design.
11603
Writing file leon3mp.ngm...
11604
Running directed packing...
11605
WARNING:Pack:1542 - The register mctrl0/r.bdrive_1_5 has the property IOB=TRUE,
11606
   but was not packed into the output side of an I/O component. Register
11607
   mctrl0/r.bdrive_1_5 set/reset disagrees with another register in the I/O
11608
   component.
11609
WARNING:Pack:1542 - The register mctrl0/r.bdrive_1_6 has the property IOB=TRUE,
11610
   but was not packed into the output side of an I/O component. Register
11611
   mctrl0/r.bdrive_1_6 set/reset disagrees with another register in the I/O
11612
   component.
11613
WARNING:Pack:1542 - The register mctrl0/r.bdrive_0_7 has the property IOB=TRUE,
11614
   but was not packed into the output side of an I/O component. Register
11615
   mctrl0/r.bdrive_0_7 set/reset disagrees with another register in the I/O
11616
   component.
11617
WARNING:Pack:1542 - The register mctrl0/r.bdrive_1_7 has the property IOB=TRUE,
11618
   but was not packed into the output side of an I/O component. Register
11619
   mctrl0/r.bdrive_1_7 set/reset disagrees with another register in the I/O
11620
   component.
11621
WARNING:Pack:1542 - The register mctrl0/r.bdrive_0_8 has the property IOB=TRUE,
11622
   but was not packed into the output side of an I/O component. Register
11623
   mctrl0/r.bdrive_0_8 set/reset disagrees with another register in the I/O
11624
   component.
11625
WARNING:Pack:1542 - The register mctrl0/r.bdrive_1_8 has the property IOB=TRUE,
11626
   but was not packed into the output side of an I/O component. Register
11627
   mctrl0/r.bdrive_1_8 set/reset disagrees with another register in the I/O
11628
   component.
11629
WARNING:Pack:1542 - The register mctrl0/r.bdrive_0_1 has the property IOB=TRUE,
11630
   but was not packed into the output side of an I/O component. Register
11631
   mctrl0/r.bdrive_0_1 set/reset disagrees with another register in the I/O
11632
   component.
11633
WARNING:Pack:1542 - The register mctrl0/r.bdrive_1_1 has the property IOB=TRUE,
11634
   but was not packed into the output side of an I/O component. Register
11635
   mctrl0/r.bdrive_1_1 set/reset disagrees with another register in the I/O
11636
   component.
11637
WARNING:Pack:1542 - The register mctrl0/r.bdrive_0_2 has the property IOB=TRUE,
11638
   but was not packed into the output side of an I/O component. Register
11639
   mctrl0/r.bdrive_0_2 set/reset disagrees with another register in the I/O
11640
   component.
11641
WARNING:Pack:1542 - The register mctrl0/r.bdrive_1_2 has the property IOB=TRUE,
11642
   but was not packed into the output side of an I/O component. Register
11643
   mctrl0/r.bdrive_1_2 set/reset disagrees with another register in the I/O
11644
   component.
11645
WARNING:Pack:1542 - The register mctrl0/r.bdrive_0_3 has the property IOB=TRUE,
11646
   but was not packed into the output side of an I/O component. Register
11647
   mctrl0/r.bdrive_0_3 set/reset disagrees with another register in the I/O
11648
   component.
11649
WARNING:Pack:1542 - The register mctrl0/r.bdrive_1_3 has the property IOB=TRUE,
11650
   but was not packed into the output side of an I/O component. Register
11651
   mctrl0/r.bdrive_1_3 set/reset disagrees with another register in the I/O
11652
   component.
11653
WARNING:Pack:1542 - The register mctrl0/r.bdrive_0_4 has the property IOB=TRUE,
11654
   but was not packed into the output side of an I/O component. Register
11655
   mctrl0/r.bdrive_0_4 set/reset disagrees with another register in the I/O
11656
   component.
11657
WARNING:Pack:1542 - The register mctrl0/r.bdrive_1_4 has the property IOB=TRUE,
11658
   but was not packed into the output side of an I/O component. Register
11659
   mctrl0/r.bdrive_1_4 set/reset disagrees with another register in the I/O
11660
   component.
11661
WARNING:Pack:1542 - The register mctrl0/r.bdrive_0_5 has the property IOB=TRUE,
11662
   but was not packed into the output side of an I/O component. Register
11663
   mctrl0/r.bdrive_0_5 set/reset disagrees with another register in the I/O
11664
   component.
11665
WARNING:Pack:1542 - The register mctrl0/r.bdrive_0_6 has the property IOB=TRUE,
11666
   but was not packed into the output side of an I/O component. Register
11667
   mctrl0/r.bdrive_0_6 set/reset disagrees with another register in the I/O
11668
   component.
11669
WARNING:Pack:1542 - The register l3.dsugen.dsu0/x0/r.act has the property
11670
   IOB=TRUE, but was not packed into the output side of an I/O component. The
11671
   register symbol l3.dsugen.dsu0/x0/r.act has no connections inside the I/O
11672
   component.
11673
Running delay-based LUT packing...
11674
Running related packing...
11675
Updating timing models...
11676
Writing design file "leon3mp.ncd"...
11677
WARNING:Pack:1375 - STEPPING Levels are not supported for this device.
11678
WARNING:PhysDesignRules:372 - Gated clock. Clock net
11679
   l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch_cmp_eq0000 is sourced by a
11680
   combinatorial pin. This is not good design practice. Use the CE pin to
11681
   control the loading of data into the flip-flop.
11682
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11683
   signal is not driven by any source pin in the design.
11684
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11685
   signal does not drive any load pins in the design.
11686
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11687
   signal does not drive any load pins in the design.
11688
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11689
   signal does not drive any load pins in the design.
11690
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11691
   signal is not driven by any source pin in the design.
11692
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11693
   signal does not drive any load pins in the design.
11694
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11695
   signal is not driven by any source pin in the design.
11696
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11697
   signal does not drive any load pins in the design.
11698
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11699
   signal does not drive any load pins in the design.
11700
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11701
   signal does not drive any load pins in the design.
11702
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11703
   signal does not drive any load pins in the design.
11704
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11705
   signal does not drive any load pins in the design.
11706
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11707
   signal does not drive any load pins in the design.
11708
WARNING:PhysDesignRules:368 - The signal  is incomplete. The signal
11709
   is not driven by any source pin in the design.
11710
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11711
   signal is not driven by any source pin in the design.
11712
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11713
   signal is not driven by any source pin in the design.
11714
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11715
   signal is not driven by any source pin in the design.
11716
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11717
   signal is not driven by any source pin in the design.
11718
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11719
   signal is not driven by any source pin in the design.
11720
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11721
   signal is not driven by any source pin in the design.
11722
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11723
   signal is not driven by any source pin in the design.
11724
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11725
   signal is not driven by any source pin in the design.
11726
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11727
   signal is not driven by any source pin in the design.
11728
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11729
   signal does not drive any load pins in the design.
11730
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11731
   signal does not drive any load pins in the design.
11732
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11733
   signal does not drive any load pins in the design.
11734
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11735
   signal does not drive any load pins in the design.
11736
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11737
   signal does not drive any load pins in the design.
11738
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11739
   signal does not drive any load pins in the design.
11740
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11741
   signal is not driven by any source pin in the design.
11742
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11743
   signal is not driven by any source pin in the design.
11744
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11745
   signal is not driven by any source pin in the design.
11746
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11747
   signal is not driven by any source pin in the design.
11748
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11749
   signal is not driven by any source pin in the design.
11750
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11751
   signal is not driven by any source pin in the design.
11752
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11753
   signal is not driven by any source pin in the design.
11754
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11755
   signal does not drive any load pins in the design.
11756
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11757
   signal does not drive any load pins in the design.
11758
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11759
   signal does not drive any load pins in the design.
11760
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11761
   signal is not driven by any source pin in the design.
11762
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11763
   signal is not driven by any source pin in the design.
11764
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11765
   signal does not drive any load pins in the design.
11766
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11767
   signal does not drive any load pins in the design.
11768
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11769
   signal does not drive any load pins in the design.
11770
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11771
   signal does not drive any load pins in the design.
11772
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11773
   signal is not driven by any source pin in the design.
11774
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11775
   signal is not driven by any source pin in the design.
11776
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11777
   signal is not driven by any source pin in the design.
11778
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11779
   signal is not driven by any source pin in the design.
11780
WARNING:PhysDesignRules:367 - The signal  is incomplete. The signal
11781
   does not drive any load pins in the design.
11782
WARNING:PhysDesignRules:367 - The signal  is incomplete. The signal
11783
   does not drive any load pins in the design.
11784
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11785
   signal does not drive any load pins in the design.
11786
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11787
   signal does not drive any load pins in the design.
11788
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11789
   signal does not drive any load pins in the design.
11790
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11791
   signal does not drive any load pins in the design.
11792
WARNING:PhysDesignRules:367 - The signal  is incomplete.
11793
   The signal does not drive any load pins in the design.
11794
WARNING:PhysDesignRules:367 - The signal  is incomplete.
11795
   The signal does not drive any load pins in the design.
11796
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11797
   signal does not drive any load pins in the design.
11798
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11799
   signal does not drive any load pins in the design.
11800
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11801
   signal is not driven by any source pin in the design.
11802
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11803
   signal is not driven by any source pin in the design.
11804
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11805
   signal is not driven by any source pin in the design.
11806
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11807
   signal does not drive any load pins in the design.
11808
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11809
   signal does not drive any load pins in the design.
11810
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11811
   signal does not drive any load pins in the design.
11812
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11813
   signal does not drive any load pins in the design.
11814
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11815
   signal does not drive any load pins in the design.
11816
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11817
   signal does not drive any load pins in the design.
11818
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11819
   signal is not driven by any source pin in the design.
11820
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11821
   signal does not drive any load pins in the design.
11822
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11823
   signal does not drive any load pins in the design.
11824
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11825
   signal does not drive any load pins in the design.
11826
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11827
   signal does not drive any load pins in the design.
11828
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11829
   signal does not drive any load pins in the design.
11830
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11831
   signal does not drive any load pins in the design.
11832
WARNING:PhysDesignRules:367 - The signal  is incomplete. The signal
11833
   does not drive any load pins in the design.
11834
WARNING:PhysDesignRules:367 - The signal  is incomplete. The signal
11835
   does not drive any load pins in the design.
11836
WARNING:PhysDesignRules:368 - The signal  is incomplete. The signal
11837
   is not driven by any source pin in the design.
11838
WARNING:PhysDesignRules:368 - The signal  is incomplete. The signal
11839
   is not driven by any source pin in the design.
11840
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11841
   signal is not driven by any source pin in the design.
11842
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11843
   signal does not drive any load pins in the design.
11844
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11845
   signal does not drive any load pins in the design.
11846
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11847
   signal does not drive any load pins in the design.
11848
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11849
   signal does not drive any load pins in the design.
11850
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11851
   signal does not drive any load pins in the design.
11852
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11853
   signal does not drive any load pins in the design.
11854
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11855
   signal does not drive any load pins in the design.
11856
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11857
   signal does not drive any load pins in the design.
11858
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11859
   signal does not drive any load pins in the design.
11860
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11861
   signal does not drive any load pins in the design.
11862
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11863
   signal does not drive any load pins in the design.
11864
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11865
   signal does not drive any load pins in the design.
11866
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11867
   signal does not drive any load pins in the design.
11868
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11869
   signal does not drive any load pins in the design.
11870
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11871
   signal does not drive any load pins in the design.
11872
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11873
   signal does not drive any load pins in the design.
11874
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11875
   signal does not drive any load pins in the design.
11876
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11877
   signal does not drive any load pins in the design.
11878
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11879
   signal does not drive any load pins in the design.
11880
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11881
   signal does not drive any load pins in the design.
11882
WARNING:PhysDesignRules:367 - The signal  is incomplete. The
11883
   signal does not drive any load pins in the design.
11884
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11885
   signal is not driven by any source pin in the design.
11886
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11887
   signal is not driven by any source pin in the design.
11888
WARNING:PhysDesignRules:368 - The signal  is incomplete. The
11889
   signal is not driven by any source pin in the design.
11890
WARNING:PhysDesignRules:812 - Dangling pin  on
11891
   block::
11892
   B16B>.
11893
WARNING:PhysDesignRules:812 - Dangling pin  on
11894
   block::
11895
   B16B>.
11896
WARNING:PhysDesignRules:812 - Dangling pin  on
11897
   block::
11898
   B16B>.
11899
WARNING:PhysDesignRules:812 - Dangling pin  on
11900
   block::
11901
   B16B>.
11902
WARNING:PhysDesignRules:812 - Dangling pin  on
11903
   block::
11904
   B16B>.
11905
WARNING:PhysDesignRules:812 - Dangling pin  on
11906
   block::
11907
   B16B>.
11908
WARNING:PhysDesignRules:812 - Dangling pin  on
11909
   block::
11910
   B16B>.
11911
WARNING:PhysDesignRules:812 - Dangling pin  on
11912
   block::
11913
   B16B>.
11914
WARNING:PhysDesignRules:812 - Dangling pin  on
11915
   block::
11916
   B16B>.
11917
WARNING:PhysDesignRules:812 - Dangling pin  on
11918
   block::
11919
   B16B>.
11920
WARNING:PhysDesignRules:812 - Dangling pin  on
11921
   block::
11922
   B16B>.
11923
WARNING:PhysDesignRules:812 - Dangling pin  on
11924
   block::
11925
   B16B>.
11926
WARNING:PhysDesignRules:812 - Dangling pin  on
11927
   block::
11928
   B16B>.
11929
WARNING:PhysDesignRules:812 - Dangling pin  on
11930
   block::
11931
   B16B>.
11932
WARNING:PhysDesignRules:812 - Dangling pin  on
11933
   block::
11934
   B16B>.
11935
WARNING:PhysDesignRules:812 - Dangling pin  on
11936
   block::
11937
   B16B>.
11938
WARNING:PhysDesignRules:812 - Dangling pin  on
11939
   block::
11940
   B16B>.
11941
WARNING:PhysDesignRules:812 - Dangling pin  on
11942
   block::
11943
   B16B>.
11944
WARNING:PhysDesignRules:812 - Dangling pin  on
11945
   block::
11946
   B16B>.
11947
WARNING:PhysDesignRules:812 - Dangling pin  on
11948
   block::
11949
   B16B>.
11950
WARNING:PhysDesignRules:812 - Dangling pin  on
11951
   block::
11952
   B16B>.
11953
WARNING:PhysDesignRules:812 - Dangling pin  on
11954
   block::
11955
   B16B>.
11956
WARNING:PhysDesignRules:812 - Dangling pin  on
11957
   block::
11958
   B16B>.
11959
WARNING:PhysDesignRules:812 - Dangling pin  on
11960
   block::
11961
   B16B>.
11962
WARNING:PhysDesignRules:1054 - The DCM comp clkgen0/xc3s.v/dll0 is configured
11963
   with CLK2X to CLKFB programming. Feedback of the CLK2X signal may not be
11964
   supported on some Spartan 3 silicon. Please check the Spartan 3 Errata for
11965
   details.
11966
 
11967
Design Summary:
11968
Number of errors:      0
11969
Number of warnings:  158
11970
Logic Utilization:
11971
  Total Number Slice Registers:       2,096 out of  26,624    7%
11972
    Number used as Flip Flops:        2,095
11973
    Number used as Latches:               1
11974
  Number of 4 input LUTs:             8,241 out of  26,624   30%
11975
Logic Distribution:
11976
  Number of occupied Slices:          4,741 out of  13,312   35%
11977
    Number of Slices containing only related logic:   4,741 out of   4,741 100%
11978
    Number of Slices containing unrelated logic:          0 out of   4,741   0%
11979
      *See NOTES below for an explanation of the effects of unrelated logic.
11980
  Total Number of 4 input LUTs:       8,414 out of  26,624   31%
11981
    Number used as logic:             8,238
11982
    Number used as a route-thru:        173
11983
    Number used as Shift registers:       3
11984
 
11985
  The Slice Logic Distribution report is not meaningful if the design is
11986
  over-mapped for a non-slice resource or if Placement fails.
11987
 
11988
  Number of bonded IOBs:                257 out of     333   77%
11989
    IOB Flip Flops:                     198
11990
  Number of RAMB16s:                     10 out of      32   31%
11991
  Number of BUFGMUXs:                     2 out of       8   25%
11992
  Number of DCMs:                         2 out of       4   50%
11993
  Number of BSCANs:                       1 out of       1  100%
11994
 
11995
Average Fanout of Non-Clock Nets:                3.68
11996
 
11997
Peak Memory Usage:  247 MB
11998
Total REAL time to MAP completion:  15 secs
11999
Total CPU time to MAP completion:   12 secs
12000
 
12001
NOTES:
12002
 
12003
   Related logic is defined as being logic that shares connectivity - e.g. two
12004
   LUTs are "related" if they share common inputs.  When assembling slices,
12005
   Map gives priority to combine logic that is related.  Doing so results in
12006
   the best timing performance.
12007
 
12008
   Unrelated logic shares no connectivity.  Map will only begin packing
12009
   unrelated logic into a slice once 99% of the slices are occupied through
12010
   related logic packing.
12011
 
12012
   Note that once logic distribution reaches the 99% level through related
12013
   logic packing, this does not mean the device is completely utilized.
12014
   Unrelated logic packing will then begin, continuing until all usable LUTs
12015
   and FFs are occupied.  Depending on your timing budget, increased levels of
12016
   unrelated logic packing may adversely affect the overall timing performance
12017
   of your design.
12018
 
12019
Mapping completed.
12020
See MAP report file "leon3mp.mrp" for details.
12021
par -ol high -w leon3mp leon3mp.ncd
12022
Release 11.1 - par L.33 (nt)
12023
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
12024
 
12025
 
12026
 
12027
Constraints file: leon3mp.pcf.
12028
Loading device for application Rf_Device from file '3s1500.nph' in environment c:\Xilinx\11.1\ISE.
12029
   "leon3mp" is an NCD, version 3.2, device xc3s1500, package fg456, speed -4
12030
 
12031
Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius)
12032
Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts)
12033
 
12034
WARNING:Timing:3224 - The clock erx_clk associated with OFFSET = IN 10 ns BEFORE COMP "erx_clk"; does not clock any
12035
   registered input components.
12036
WARNING:Timing:3225 - Timing constraint OFFSET = IN 10 ns BEFORE COMP "erx_clk"; ignored during timing analysis
12037
WARNING:Timing:3224 - The clock etx_clk associated with OFFSET = OUT 20 ns AFTER COMP "etx_clk"; does not clock any
12038
   registered output components.
12039
WARNING:Timing:3225 - Timing constraint OFFSET = OUT 20 ns AFTER COMP "etx_clk"; ignored during timing analysis
12040
WARNING:Timing:3224 - The clock etx_clk associated with OFFSET = IN 10 ns BEFORE COMP "etx_clk"; does not clock any
12041
   registered input components.
12042
WARNING:Timing:3225 - Timing constraint OFFSET = IN 10 ns BEFORE COMP "etx_clk"; ignored during timing analysis
12043
WARNING:Timing:3224 - The clock usb_clkout associated with OFFSET = OUT 10 ns AFTER COMP "usb_clkout"; does not clock
12044
   any registered output components.
12045
WARNING:Timing:3225 - Timing constraint OFFSET = OUT 10 ns AFTER COMP "usb_clkout"; ignored during timing analysis
12046
WARNING:Timing:3224 - The clock usb_clkout associated with OFFSET = IN 8 ns BEFORE COMP "usb_clkout"; does not clock any
12047
   registered input components.
12048
WARNING:Timing:3225 - Timing constraint OFFSET = IN 8 ns BEFORE COMP "usb_clkout"; ignored during timing analysis
12049
 
12050
Device speed data version:  "PRODUCTION 1.39 2009-03-03".
12051
 
12052
 
12053
Device Utilization Summary:
12054
 
12055
   Number of BSCANs                          1 out of 1     100%
12056
   Number of BUFGMUXs                        2 out of 8      25%
12057
   Number of DCMs                            2 out of 4      50%
12058
      Number of LOCed DCMs                   2 out of 2     100%
12059
 
12060
   Number of External IOBs                 257 out of 333    77%
12061
      Number of LOCed IOBs                 257 out of 257   100%
12062
 
12063
   Number of RAMB16s                        10 out of 32     31%
12064
   Number of Slices                       4741 out of 13312  35%
12065
      Number of SLICEMs                     47 out of 6656    1%
12066
 
12067
 
12068
 
12069
Overall effort level (-ol):   High
12070
Placer effort level (-pl):    High
12071
Placer cost table entry (-t): 1
12072
Router effort level (-rl):    High
12073
 
12074
Starting initial Timing Analysis.  REAL time: 5 secs
12075
Finished initial Timing Analysis.  REAL time: 6 secs
12076
 
12077
WARNING:Par:289 - The signal usb_xcvrsel_OBUF has no driver.  PAR will not attempt to route this signal.
12078
WARNING:Par:288 - The signal usb_rxactive_IBUF has no load.  PAR will not attempt to route this signal.
12079
WARNING:Par:288 - The signal usb_rxerror_IBUF has no load.  PAR will not attempt to route this signal.
12080
WARNING:Par:288 - The signal usb_rxvalid_IBUF has no load.  PAR will not attempt to route this signal.
12081
WARNING:Par:289 - The signal usb_suspend_OBUF has no driver.  PAR will not attempt to route this signal.
12082
WARNING:Par:288 - The signal usb_txready_IBUF has no load.  PAR will not attempt to route this signal.
12083
WARNING:Par:289 - The signal usb_txvalid_OBUF has no driver.  PAR will not attempt to route this signal.
12084
WARNING:Par:288 - The signal spw_rxdn(0)_IBUF has no load.  PAR will not attempt to route this signal.
12085
WARNING:Par:288 - The signal spw_rxdn(1)_IBUF has no load.  PAR will not attempt to route this signal.
12086
WARNING:Par:288 - The signal spw_rxdn(2)_IBUF has no load.  PAR will not attempt to route this signal.
12087
WARNING:Par:288 - The signal spw_rxdp(0)_IBUF has no load.  PAR will not attempt to route this signal.
12088
WARNING:Par:288 - The signal spw_rxdp(1)_IBUF has no load.  PAR will not attempt to route this signal.
12089
WARNING:Par:288 - The signal spw_rxdp(2)_IBUF has no load.  PAR will not attempt to route this signal.
12090
WARNING:Par:289 - The signal emdc_OBUF has no driver.  PAR will not attempt to route this signal.
12091
WARNING:Par:289 - The signal ata_da(0)_OBUF has no driver.  PAR will not attempt to route this signal.
12092
WARNING:Par:289 - The signal ata_da(1)_OBUF has no driver.  PAR will not attempt to route this signal.
12093
WARNING:Par:289 - The signal ata_da(2)_OBUF has no driver.  PAR will not attempt to route this signal.
12094
WARNING:Par:289 - The signal spw_txdn(0)_OBUF has no driver.  PAR will not attempt to route this signal.
12095
WARNING:Par:289 - The signal spw_txdn(1)_OBUF has no driver.  PAR will not attempt to route this signal.
12096
WARNING:Par:289 - The signal spw_txdn(2)_OBUF has no driver.  PAR will not attempt to route this signal.
12097
WARNING:Par:289 - The signal spw_txdp(0)_OBUF has no driver.  PAR will not attempt to route this signal.
12098
WARNING:Par:289 - The signal spw_txdp(1)_OBUF has no driver.  PAR will not attempt to route this signal.
12099
WARNING:Par:289 - The signal spw_txdp(2)_OBUF has no driver.  PAR will not attempt to route this signal.
12100
WARNING:Par:288 - The signal spw_rxsn(0)_IBUF has no load.  PAR will not attempt to route this signal.
12101
WARNING:Par:288 - The signal spw_rxsn(1)_IBUF has no load.  PAR will not attempt to route this signal.
12102
WARNING:Par:288 - The signal spw_rxsn(2)_IBUF has no load.  PAR will not attempt to route this signal.
12103
WARNING:Par:288 - The signal spw_rxsp(0)_IBUF has no load.  PAR will not attempt to route this signal.
12104
WARNING:Par:288 - The signal spw_rxsp(1)_IBUF has no load.  PAR will not attempt to route this signal.
12105
WARNING:Par:288 - The signal spw_rxsp(2)_IBUF has no load.  PAR will not attempt to route this signal.
12106
WARNING:Par:289 - The signal spw_txsn(0)_OBUF has no driver.  PAR will not attempt to route this signal.
12107
WARNING:Par:289 - The signal spw_txsn(1)_OBUF has no driver.  PAR will not attempt to route this signal.
12108
WARNING:Par:289 - The signal spw_txsn(2)_OBUF has no driver.  PAR will not attempt to route this signal.
12109
WARNING:Par:289 - The signal spw_txsp(0)_OBUF has no driver.  PAR will not attempt to route this signal.
12110
WARNING:Par:289 - The signal spw_txsp(1)_OBUF has no driver.  PAR will not attempt to route this signal.
12111
WARNING:Par:289 - The signal spw_txsp(2)_OBUF has no driver.  PAR will not attempt to route this signal.
12112
WARNING:Par:289 - The signal ata_dmack_OBUF has no driver.  PAR will not attempt to route this signal.
12113
WARNING:Par:288 - The signal ata_dmarq_IBUF has no load.  PAR will not attempt to route this signal.
12114
WARNING:Par:288 - The signal ata_iordy_IBUF has no load.  PAR will not attempt to route this signal.
12115
WARNING:Par:288 - The signal ata_intrq_IBUF has no load.  PAR will not attempt to route this signal.
12116
WARNING:Par:289 - The signal ata_cs0_OBUF has no driver.  PAR will not attempt to route this signal.
12117
WARNING:Par:289 - The signal ata_cs1_OBUF has no driver.  PAR will not attempt to route this signal.
12118
WARNING:Par:288 - The signal erxd(0)_IBUF has no load.  PAR will not attempt to route this signal.
12119
WARNING:Par:288 - The signal erxd(1)_IBUF has no load.  PAR will not attempt to route this signal.
12120
WARNING:Par:288 - The signal erxd(2)_IBUF has no load.  PAR will not attempt to route this signal.
12121
WARNING:Par:288 - The signal erxd(3)_IBUF has no load.  PAR will not attempt to route this signal.
12122
WARNING:Par:289 - The signal etxd(0)_OBUF has no driver.  PAR will not attempt to route this signal.
12123
WARNING:Par:289 - The signal etxd(1)_OBUF has no driver.  PAR will not attempt to route this signal.
12124
WARNING:Par:289 - The signal etxd(2)_OBUF has no driver.  PAR will not attempt to route this signal.
12125
WARNING:Par:289 - The signal etxd(3)_OBUF has no driver.  PAR will not attempt to route this signal.
12126
WARNING:Par:288 - The signal emdio_IBUF has no load.  PAR will not attempt to route this signal.
12127
WARNING:Par:288 - The signal ctsn2_IBUF has no load.  PAR will not attempt to route this signal.
12128
WARNING:Par:288 - The signal erx_clk_IBUF has no load.  PAR will not attempt to route this signal.
12129
WARNING:Par:288 - The signal erx_col_IBUF has no load.  PAR will not attempt to route this signal.
12130
WARNING:Par:288 - The signal erx_crs_IBUF has no load.  PAR will not attempt to route this signal.
12131
WARNING:Par:288 - The signal etx_clk_IBUF has no load.  PAR will not attempt to route this signal.
12132
WARNING:Par:288 - The signal usb_linestate(0)_IBUF has no load.  PAR will not attempt to route this signal.
12133
WARNING:Par:288 - The signal usb_linestate(1)_IBUF has no load.  PAR will not attempt to route this signal.
12134
WARNING:Par:288 - The signal usb_clkout_IBUF has no load.  PAR will not attempt to route this signal.
12135
WARNING:Par:288 - The signal usb_validh_IBUF has no load.  PAR will not attempt to route this signal.
12136
WARNING:Par:289 - The signal ata_dior_OBUF has no driver.  PAR will not attempt to route this signal.
12137
WARNING:Par:289 - The signal ata_diow_OBUF has no driver.  PAR will not attempt to route this signal.
12138
WARNING:Par:289 - The signal ata_csel_OBUF has no driver.  PAR will not attempt to route this signal.
12139
WARNING:Par:288 - The signal ata_data(10)_IBUF has no load.  PAR will not attempt to route this signal.
12140
WARNING:Par:288 - The signal ata_data(11)_IBUF has no load.  PAR will not attempt to route this signal.
12141
WARNING:Par:288 - The signal ata_data(12)_IBUF has no load.  PAR will not attempt to route this signal.
12142
WARNING:Par:288 - The signal ata_data(13)_IBUF has no load.  PAR will not attempt to route this signal.
12143
WARNING:Par:288 - The signal ata_data(14)_IBUF has no load.  PAR will not attempt to route this signal.
12144
WARNING:Par:288 - The signal ata_data(15)_IBUF has no load.  PAR will not attempt to route this signal.
12145
WARNING:Par:289 - The signal ata_rstn_OBUF has no driver.  PAR will not attempt to route this signal.
12146
WARNING:Par:288 - The signal usb_d(10)_IBUF has no load.  PAR will not attempt to route this signal.
12147
WARNING:Par:288 - The signal usb_d(11)_IBUF has no load.  PAR will not attempt to route this signal.
12148
WARNING:Par:288 - The signal usb_d(12)_IBUF has no load.  PAR will not attempt to route this signal.
12149
WARNING:Par:288 - The signal usb_d(13)_IBUF has no load.  PAR will not attempt to route this signal.
12150
WARNING:Par:288 - The signal usb_d(14)_IBUF has no load.  PAR will not attempt to route this signal.
12151
WARNING:Par:288 - The signal usb_d(15)_IBUF has no load.  PAR will not attempt to route this signal.
12152
WARNING:Par:288 - The signal erx_er_IBUF has no load.  PAR will not attempt to route this signal.
12153
WARNING:Par:288 - The signal erx_dv_IBUF has no load.  PAR will not attempt to route this signal.
12154
WARNING:Par:289 - The signal etx_en_OBUF has no driver.  PAR will not attempt to route this signal.
12155
WARNING:Par:289 - The signal etx_er_OBUF has no driver.  PAR will not attempt to route this signal.
12156
WARNING:Par:289 - The signal usb_reset_OBUF has no driver.  PAR will not attempt to route this signal.
12157
WARNING:Par:288 - The signal ata_data(0)_IBUF has no load.  PAR will not attempt to route this signal.
12158
WARNING:Par:288 - The signal ata_data(1)_IBUF has no load.  PAR will not attempt to route this signal.
12159
WARNING:Par:288 - The signal ata_data(2)_IBUF has no load.  PAR will not attempt to route this signal.
12160
WARNING:Par:288 - The signal ata_data(3)_IBUF has no load.  PAR will not attempt to route this signal.
12161
WARNING:Par:288 - The signal ata_data(4)_IBUF has no load.  PAR will not attempt to route this signal.
12162
WARNING:Par:288 - The signal ata_data(5)_IBUF has no load.  PAR will not attempt to route this signal.
12163
WARNING:Par:288 - The signal ata_data(6)_IBUF has no load.  PAR will not attempt to route this signal.
12164
WARNING:Par:288 - The signal ata_data(7)_IBUF has no load.  PAR will not attempt to route this signal.
12165
WARNING:Par:288 - The signal ata_data(8)_IBUF has no load.  PAR will not attempt to route this signal.
12166
WARNING:Par:288 - The signal ata_data(9)_IBUF has no load.  PAR will not attempt to route this signal.
12167
WARNING:Par:288 - The signal usb_d(0)_IBUF has no load.  PAR will not attempt to route this signal.
12168
WARNING:Par:288 - The signal usb_d(1)_IBUF has no load.  PAR will not attempt to route this signal.
12169
WARNING:Par:288 - The signal usb_d(2)_IBUF has no load.  PAR will not attempt to route this signal.
12170
WARNING:Par:288 - The signal usb_d(3)_IBUF has no load.  PAR will not attempt to route this signal.
12171
WARNING:Par:288 - The signal usb_d(4)_IBUF has no load.  PAR will not attempt to route this signal.
12172
WARNING:Par:288 - The signal usb_d(5)_IBUF has no load.  PAR will not attempt to route this signal.
12173
WARNING:Par:288 - The signal usb_d(6)_IBUF has no load.  PAR will not attempt to route this signal.
12174
WARNING:Par:288 - The signal usb_d(7)_IBUF has no load.  PAR will not attempt to route this signal.
12175
WARNING:Par:288 - The signal usb_d(8)_IBUF has no load.  PAR will not attempt to route this signal.
12176
WARNING:Par:288 - The signal usb_d(9)_IBUF has no load.  PAR will not attempt to route this signal.
12177
WARNING:Par:288 - The signal usb_vbus_IBUF has no load.  PAR will not attempt to route this signal.
12178
WARNING:Par:289 - The signal usb_opmode(0)_OBUF has no driver.  PAR will not attempt to route this signal.
12179
WARNING:Par:289 - The signal usb_opmode(1)_OBUF has no driver.  PAR will not attempt to route this signal.
12180
WARNING:Par:289 - The signal usb_termsel_OBUF has no driver.  PAR will not attempt to route this signal.
12181
 
12182
Starting Placer
12183
Total REAL time at the beginning of Placer: 6 secs
12184
Total CPU  time at the beginning of Placer: 6 secs
12185
 
12186
Phase 1.1  Initial Placement Analysis
12187
Phase 1.1  Initial Placement Analysis (Checksum:2ec84053) REAL time: 8 secs
12188
 
12189
Phase 2.7  Design Feasibility Check
12190
Phase 2.7  Design Feasibility Check (Checksum:2ec84053) REAL time: 8 secs
12191
 
12192
Phase 3.31  Local Placement Optimization
12193
Phase 3.31  Local Placement Optimization (Checksum:df2f4153) REAL time: 8 secs
12194
 
12195
Phase 4.2  Initial Clock and IO Placement
12196
 
12197
Phase 4.2  Initial Clock and IO Placement (Checksum:ab3a93db) REAL time: 9 secs
12198
 
12199
Phase 5.8  Global Placement
12200
...........................
12201
..................................
12202
..........
12203
......................................................
12204
...............
12205
.................
12206
........
12207
...............................
12208
Phase 5.8  Global Placement (Checksum:8373cefc) REAL time: 31 secs
12209
 
12210
Phase 6.5  Local Placement Optimization
12211
Phase 6.5  Local Placement Optimization (Checksum:8373cefc) REAL time: 31 secs
12212
 
12213
Phase 7.18  Placement Optimization
12214
Phase 7.18  Placement Optimization (Checksum:77abaa7e) REAL time: 42 secs
12215
 
12216
Phase 8.5  Local Placement Optimization
12217
Phase 8.5  Local Placement Optimization (Checksum:77abaa7e) REAL time: 42 secs
12218
 
12219
Total REAL time to Placer completion: 43 secs
12220
Total CPU  time to Placer completion: 42 secs
12221
Writing design to file leon3mp.ncd
12222
 
12223
 
12224
 
12225
Starting Router
12226
 
12227
 
12228
Phase  1  : 35132 unrouted;      REAL time: 46 secs
12229
 
12230
Phase  2  : 33118 unrouted;      REAL time: 48 secs
12231
 
12232
Phase  3  : 10375 unrouted;      REAL time: 54 secs
12233
 
12234
Phase  4  : 10400 unrouted; (Setup:0, Hold:0, Component Switching Limit:0)     REAL time: 57 secs
12235
 
12236
Phase  5  : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0)     REAL time: 1 mins 10 secs
12237
 
12238
Updating file: leon3mp.ncd with current fully routed design.
12239
 
12240
Phase  6  : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0)     REAL time: 1 mins 15 secs
12241
 
12242
Phase  7  : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0)     REAL time: 1 mins 16 secs
12243
 
12244
Phase  8  : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0)     REAL time: 1 mins 21 secs
12245
WARNING:Route:455 - CLK Net:clkm may have excessive skew because
12246
 
12247
 
12248
Total REAL time to Router completion: 1 mins 21 secs
12249
Total CPU time to Router completion: 1 mins 18 secs
12250
 
12251
Partition Implementation Status
12252
-------------------------------
12253
 
12254
  No Partitions were found in this design.
12255
 
12256
-------------------------------
12257
 
12258
Generating "PAR" statistics.
12259
 
12260
**************************
12261
Generating Clock Report
12262
**************************
12263
 
12264
+---------------------+--------------+------+------+------------+-------------+
12265
|        Clock Net    |   Resource   |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
12266
+---------------------+--------------+------+------+------------+-------------+
12267
|                clkm |      BUFGMUX3| No   | 1837 |  0.609     |  1.349      |
12268
+---------------------+--------------+------+------+------------+-------------+
12269
|l3.cpu[0].u0/p0/mips |              |      |      |            |             |
12270
|/E1/iRF_stage/i_pc_g |              |      |      |            |             |
12271
|en/branch_cmp_eq0000 |              |      |      |            |             |
12272
|                     |         Local|      |    1 |  0.000     |  1.128      |
12273
+---------------------+--------------+------+------+------------+-------------+
12274
 
12275
* Net Skew is the difference between the minimum and maximum routing
12276
only delays for the net. Note this is different from Clock Skew which
12277
is reported in TRCE timing report. Clock Skew is the difference between
12278
the minimum and maximum path delays which includes logic delays.
12279
 
12280
Timing Score: 0 (Setup: 0, Hold: 0, Component Switching Limit: 0)
12281
 
12282
Number of Timing Constraints that were not applied: 9
12283
 
12284
Asterisk (*) preceding a constraint indicates it was not met.
12285
   This may be due to a setup or hold violation.
12286
 
12287
----------------------------------------------------------------------------------------------------------
12288
  Constraint                                |    Check    | Worst Case |  Best Case | Timing |   Timing
12289
                                            |             |    Slack   | Achievable | Errors |    Score
12290
----------------------------------------------------------------------------------------------------------
12291
  PERIOD analysis for net "clkgen0/xc3s.v/c | SETUP       |     0.197ns|    24.803ns|       0|           0
12292
  lk0B" derived from  NET "lclk" PERIOD = 2 | HOLD        |     0.800ns|            |       0|           0
12293
 
12294
------------------------------------------------------------------------------------------------------
12295
  OFFSET = IN 8 ns BEFORE COMP "clk"        | SETUP       |     5.030ns|     2.970ns|       0|           0
12296
------------------------------------------------------------------------------------------------------
12297
  NET "lclk" PERIOD = 20 ns HIGH 50%        | MINLOWPULSE |    14.000ns|     6.000ns|       0|           0
12298
------------------------------------------------------------------------------------------------------
12299
  OFFSET = OUT 20 ns AFTER COMP "clk"       | MAXDELAY    |     9.011ns|    10.989ns|       0|           0
12300
------------------------------------------------------------------------------------------------------
12301
  NET "usb_clkout_IBUF" PERIOD = 16.667 ns  | N/A         |         N/A|         N/A|     N/A|         N/A
12302
  HIGH 50%                                  |             |            |            |        |
12303
------------------------------------------------------------------------------------------------------
12304
  NET "erx_clk_IBUF" PERIOD = 40 ns HIGH 50 | N/A         |         N/A|         N/A|     N/A|         N/A
12305
  %                                         |             |            |            |        |
12306
------------------------------------------------------------------------------------------------------
12307
  NET "etx_clk_IBUF" PERIOD = 40 ns HIGH 50 | N/A         |         N/A|         N/A|     N/A|         N/A
12308
  %                                         |             |            |            |        |
12309
------------------------------------------------------------------------------------------------------
12310
  OFFSET = IN 10 ns BEFORE COMP "erx_clk"   | N/A         |         N/A|         N/A|     N/A|         N/A
12311
------------------------------------------------------------------------------------------------------
12312
  OFFSET = OUT 20 ns AFTER COMP "etx_clk"   | N/A         |         N/A|         N/A|     N/A|         N/A
12313
------------------------------------------------------------------------------------------------------
12314
  OFFSET = IN 10 ns BEFORE COMP "etx_clk"   | N/A         |         N/A|         N/A|     N/A|         N/A
12315
------------------------------------------------------------------------------------------------------
12316
  OFFSET = OUT 10 ns AFTER COMP "usb_clkout | N/A         |         N/A|         N/A|     N/A|         N/A
12317
  "                                         |             |            |            |        |
12318
------------------------------------------------------------------------------------------------------
12319
  OFFSET = IN 8 ns BEFORE COMP "usb_clkout" | N/A         |         N/A|         N/A|     N/A|         N/A
12320
------------------------------------------------------------------------------------------------------
12321
 
12322
 
12323
Derived Constraint Report
12324
Derived Constraints for lclk
12325
+-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+
12326
|                               |   Period    |       Actual Period       |      Timing Errors        |      Paths Analyzed       |
12327
|           Constraint          | Requirement |-------------+-------------|-------------+-------------|-------------+-------------|
12328
|                               |             |   Direct    | Derivative  |   Direct    | Derivative  |   Direct    | Derivative  |
12329
+-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+
12330
|lclk                           |     20.000ns|      6.000ns|     19.842ns|            0|            0|            0|     17167694|
12331
| clkgen0/xc3s.v/clk0B          |     25.000ns|     24.803ns|          N/A|            0|            0|     17167694|            0|
12332
+-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+
12333
 
12334
All constraints were met.
12335
INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the
12336
   constraint does not cover any paths or that it has no requested value.
12337
 
12338
 
12339
Generating Pad Report.
12340
 
12341
All signals are completely routed.
12342
 
12343
WARNING:Par:283 - There are 68 loadless signals in this design. This design will cause Bitgen to issue DRC warnings.
12344
 
12345
WARNING:Par:284 - There are 36 sourceless signals in this design. This design will not pass the DRC check run by Bitgen.
12346
 
12347
Total REAL time to PAR completion: 1 mins 25 secs
12348
Total CPU time to PAR completion: 1 mins 22 secs
12349
 
12350
Peak Memory Usage:  333 MB
12351
 
12352
Placement: Completed - No errors found.
12353
Routing: Completed - No errors found.
12354
Timing: Completed - No errors found.
12355
 
12356
Number of error messages: 0
12357
Number of warning messages: 119
12358
Number of info messages: 0
12359
 
12360
Writing design to file leon3mp.ncd
12361
 
12362
 
12363
 
12364
PAR done!
12365
trce -v 25 leon3mp.ncd leon3mp.pcf
12366
Release 11.1 - Trace  (nt)
12367
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
12368
 
12369
 
12370
Loading device for application Rf_Device from file '3s1500.nph' in environment
12371
c:\Xilinx\11.1\ISE.
12372
   "leon3mp" is an NCD, version 3.2, device xc3s1500, package fg456, speed -4
12373
WARNING:Timing:3224 - The clock erx_clk associated with OFFSET = IN 10 ns BEFORE
12374
   COMP "erx_clk"; does not clock any registered input components.
12375
WARNING:Timing:3225 - Timing constraint OFFSET = IN 10 ns BEFORE COMP "erx_clk";
12376
   ignored during timing analysis
12377
WARNING:Timing:3224 - The clock etx_clk associated with OFFSET = OUT 20 ns AFTER
12378
   COMP "etx_clk"; does not clock any registered output components.
12379
WARNING:Timing:3225 - Timing constraint OFFSET = OUT 20 ns AFTER COMP "etx_clk";
12380
   ignored during timing analysis
12381
WARNING:Timing:3224 - The clock etx_clk associated with OFFSET = IN 10 ns BEFORE
12382
   COMP "etx_clk"; does not clock any registered input components.
12383
WARNING:Timing:3225 - Timing constraint OFFSET = IN 10 ns BEFORE COMP "etx_clk";
12384
   ignored during timing analysis
12385
WARNING:Timing:3224 - The clock usb_clkout associated with OFFSET = OUT 10 ns
12386
   AFTER COMP "usb_clkout"; does not clock any registered output components.
12387
WARNING:Timing:3225 - Timing constraint OFFSET = OUT 10 ns AFTER COMP
12388
   "usb_clkout"; ignored during timing analysis
12389
WARNING:Timing:3224 - The clock usb_clkout associated with OFFSET = IN 8 ns
12390
   BEFORE COMP "usb_clkout"; does not clock any registered input components.
12391
WARNING:Timing:3225 - Timing constraint OFFSET = IN 8 ns BEFORE COMP
12392
   "usb_clkout"; ignored during timing analysis
12393
--------------------------------------------------------------------------------
12394
Release 11.1 Trace  (nt)
12395
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
12396
 
12397
c:\Xilinx\11.1\ISE\bin\nt\unwrapped\trce.exe -v 25 leon3mp.ncd leon3mp.pcf
12398
 
12399
 
12400
Design file:              leon3mp.ncd
12401
Physical constraint file: leon3mp.pcf
12402
Device,speed:             xc3s1500,-4 (PRODUCTION 1.39 2009-03-03)
12403
Report level:             verbose report, limited to 25 items per constraint
12404
--------------------------------------------------------------------------------
12405
 
12406
INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths
12407
   option. All paths that are not constrained will be reported in the
12408
   unconstrained paths section(s) of the report.
12409
INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a
12410
   50 Ohm transmission line loading model.  For the details of this model, and
12411
   for more information on accounting for different loading conditions, please
12412
   see the device datasheet.
12413
 
12414
 
12415
Timing summary:
12416
---------------
12417
 
12418
Timing errors: 0  Score: 0 (Setup/Max: 0, Hold: 0)
12419
 
12420
Constraints cover 17167909 paths, 0 nets, and 34838 connections
12421
 
12422
Design statistics:
12423
   Minimum period:  24.803ns (Maximum frequency:  40.318MHz)
12424
   Minimum input required time before clock:   2.970ns
12425
   Minimum output required time after clock:  10.989ns
12426
 
12427
 
12428
Analysis completed Tue Aug 18 18:40:13 2009
12429
--------------------------------------------------------------------------------
12430
 
12431
Generating Report ...
12432
 
12433
Number of warnings: 10
12434
Number of info messages: 2
12435
Total time: 12 secs
12436
bitgen leon3mp -l -m -w -d -f ../../boards/gr-xc3s-1500/default.ut
12437
Release 11.1 - Bitgen L.33 (nt)
12438
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
12439
Loading device for application Rf_Device from file '3s1500.nph' in environment
12440
c:\Xilinx\11.1\ISE.
12441
   "leon3mp" is an NCD, version 3.2, device xc3s1500, package fg456, speed -4
12442
Opened constraints file leon3mp.pcf.
12443
 
12444
Tue Aug 18 18:40:17 2009
12445
 
12446
INFO:Bitgen:40 - Replacing "Auto" with "NoWait" for option "Match_cycle".  Most
12447
   commonly, bitgen has determined and will use a specific value instead of the
12448
   generic command-line value of "Auto".  Alternately, this message appears if
12449
   the same option is specified multiple times on the command-line.  In this
12450
   case, the option listed last will be used.
12451
Saving ll file in "leon3mp.ll".
12452
Creating bit map...
12453
Saving bit stream in "leon3mp.bit".
12454
Saving bit stream in "leon3mp.rbt".
12455
Saving Readback bit file leon3mp.rbb.
12456
Saving Readback bit file leon3mp.rba.
12457
Saving Readback golden data file leon3mp.rbd.
12458
Saving mask data in "leon3mp.msd".
12459
Creating bit mask...
12460
Saving mask bit stream in "leon3mp.msk".
12461
Bitstream generation is complete.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.