OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [testbench.mpf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
[Library]
2
grlib = modelsim/grlib
3
unisim = modelsim/unisim
4
dw02 = modelsim/dw02
5
synplify = modelsim/synplify
6
techmap = modelsim/techmap
7
spw = modelsim/spw
8
eth = modelsim/eth
9
opencores = modelsim/opencores
10
gaisler = modelsim/gaisler
11
esa = modelsim/esa
12
micron = modelsim/micron
13
work = modelsim/work
14
std = $MODEL_TECH/../std
15
ieee = $MODEL_TECH/../ieee
16
vital2000 = $MODEL_TECH/../vital2000
17
verilog = $MODEL_TECH/../verilog
18
arithmetic = $MODEL_TECH/../arithmetic
19
mgc_portable = $MODEL_TECH/../mgc_portable
20
std_developerskit = $MODEL_TECH/../std_developerskit
21
synopsys = $MODEL_TECH/../synopsys
22
 
23
[vcom]
24
; Turn on VHDL-1993 as the default. Normally is off.
25
VHDL93 = 1
26
 
27
; Show source line containing error. Default is off.
28
Show_source = 1
29
 
30
; Turn off unbound-component warnings. Default is on.
31
Show_Warning1 = 0
32
 
33
; Turn off process-without-a-wait-statement warnings. Default is on.
34
; Show_Warning2 = 0
35
 
36
; Turn off null-range warnings. Default is on.
37
; Show_Warning3 = 0
38
 
39
; Turn off no-space-in-time-literal warnings. Default is on.
40
; Show_Warning4 = 0
41
 
42
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
43
Show_Warning5 = 0
44
 
45
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
46
; Optimize_1164 = 0
47
 
48
; Turn on resolving of ambiguous function overloading in favor of the
49
; "explicit" function declaration (not the one automatically created by
50
; the compiler for each type declaration). Default is off.
51
; Explicit = 1
52
 
53
; Turn off VITAL compliance checking. Default is checking on.
54
; NoVitalCheck = 1
55
 
56
; Ignore VITAL compliance checking errors. Default is to not ignore.
57
; IgnoreVitalErrors = 1
58
 
59
; Turn off VITAL compliance checking warnings. Default is to show warnings.
60
; Show_VitalChecksWarnings = false
61
 
62
; Turn off acceleration of the VITAL packages. Default is to accelerate.
63
; NoVital = 1
64
 
65
; Turn off inclusion of debugging info within design units. Default is to include.
66
; NoDebug = 1
67
 
68
; Turn off "loading..." messages. Default is messages on.
69
Quiet = 1
70
 
71
; Turn on some limited synthesis rule compliance checking. Checks only:
72
;       -- signals used (read) by a process must be in the sensitivity list
73
; CheckSynthesis = 1
74
 
75
[vlog]
76
 
77
; Turn off inclusion of debugging info within design units. Default is to include.
78
; NoDebug = 1
79
 
80
; Turn off "loading..." messages. Default is messages on.
81
Quiet = 1
82
 
83
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
84
; Default is off.
85
; Hazard = 1
86
 
87
; Turn on converting regular Verilog identifiers to uppercase. Allows case
88
; insensitivity for module names. Default is no conversion.
89
; UpCase = 1
90
 
91
[vsim]
92
 
93
; vopt flow
94
; Set to turn on automatic optimization of a design.
95
; Default is off (pre-6.0 flow without vopt).
96
VoptFlow = 0
97
 
98
; Simulator resolution
99
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
100
Resolution = 1ps
101
 
102
; User time unit for run commands
103
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
104
; unit specified for Resolution. For example, if Resolution is 100ps,
105
; then UserTimeUnit defaults to ps.
106
UserTimeUnit = ns
107
 
108
; Default run length
109
RunLength = 100 ns
110
 
111
; Maximum iterations that can be run without advancing simulation time
112
IterationLimit = 5000
113
 
114
; Directive to license manager:
115
; vhdl          Immediately reserve a VHDL license
116
; vlog          Immediately reserve a Verilog license
117
; plus          Immediately reserve a VHDL and Verilog license
118
; nomgc         Do not look for Mentor Graphics Licenses
119
; nomti         Do not look for Model Technology Licenses
120
; noqueue       Do not wait in the license queue when a license isn't available
121
; License = plus
122
 
123
; Stop the simulator after an assertion message
124
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
125
BreakOnAssertion = 3
126
 
127
; Assertion Message Format
128
; %S - Severity Level
129
; %R - Report Message
130
; %T - Time of assertion
131
; %D - Delta
132
; %I - Instance or Region pathname (if available)
133
; %% - print '%' character
134
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
135
 
136
; Default radix for all windows and commands...
137
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
138
DefaultRadix = symbolic
139
 
140
; VSIM Startup command
141
; Startup = do startup.do
142
 
143
; File for saving command transcript
144
TranscriptFile = transcript
145
 
146
; Specify whether paths in simulator commands should be described
147
; in VHDL or Verilog format. For VHDL, PathSeparator = /
148
; for Verilog, PathSeparator = .
149
PathSeparator = /
150
 
151
; Disable assertion messages
152
; IgnoreNote = 1
153
; IgnoreWarning = 1
154
; IgnoreError = 1
155
; IgnoreFailure = 1
156
 
157
; Default force kind. May be freeze, drive, or deposit
158
; or in other terms, fixed, wired or charged.
159
; DefaultForceKind = freeze
160
 
161
; If zero, open files when elaborated
162
; else open files on first read or write
163
; DelayFileOpen = 0
164
 
165
; Control VHDL files opened for write
166
;   0 = Buffered, 1 = Unbuffered
167
UnbufferedOutput = 0
168
 
169
; This controls the number of characters of a signal name
170
; shown in the waveform window and the postscript plot.
171
; The default value or a value of zero tells VSIM to display
172
; the full name.
173
; WaveSignalNameWidth = 10
174
 
175
; Turn off warnings from the std_logic_arith, std_logic_unsigned
176
; and std_logic_signed packages.
177
; StdArithNoWarnings = 1
178
 
179
; Turn off warnings from the IEEE numeric_std and numeric_bit
180
; packages.
181
; NumericStdNoWarnings = 1
182
 
183
; Control the format of a generate statement label. Don't quote it.
184
; GenerateFormat = %s__%d
185
 
186
; Specify whether checkpoint files should be compressed.
187
; The default is to be compressed.
188
; CheckpointCompressMode = 0
189
 
190
; List of dynamically loaded objects for Verilog PLI applications
191
; Veriuser = veriuser.sl
192
 
193
[lmc]
194
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software
195
libsm = $MODEL_TECH/libsm.sl
196
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
197
; libsm = $MODEL_TECH/libsm.dll
198
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
199
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
200
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
201
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
202
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
203
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
204
;  Logic Modeling's SmartModel SWIFT software (Sun4 SunOS)
205
;       do setenv LD_LIBRARY_PATH $LMC_HOME/lib/sun4SunOS.lib
206
;       and run "vsim.swift".
207
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
208
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
209
 
210
; ModelSim's interface to Logic Modeling's hardware modeler SFI software
211
libhm = $MODEL_TECH/libhm.sl
212
; ModelSim's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
213
; libhm = $MODEL_TECH/libhm.dll
214
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
215
; libsfi = /lib/hp700/libsfi.sl
216
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
217
; libsfi = /lib/rs6000/libsfi.a
218
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
219
; libsfi = /lib/sun4.solaris/libsfi.so
220
;  Logic Modeling's hardware modeler SFI software (Sun4 SunOS)
221
; libsfi = /lib/sun4.sunos/libsfi.so
222
;  Logic Modeling's hardware modeler SFI software (Window NT)
223
; libsfi = /lib/pcnt/lm_sfi.dll
224
[Project]
225
Project_Version = 6
226
Project_DefaultLib = work
227
Project_SortMethod = unused
228
Project_Files_Count = 246
229
Project_File_0 = ../../lib/gaisler/leon3/mmulrue.vhd
230
Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 134 dont_compile 0 cover_stmt 1 vhdl_use93 93
231
Project_File_1 = ../../lib/grlib/amba/ahbctrl.vhd
232
Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 13 dont_compile 0 cover_stmt 1 vhdl_use93 93
233
Project_File_2 = ../../lib/gaisler/jtag/jtagcom.vhd
234
Project_File_P_2 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 202 dont_compile 0 cover_stmt 1 vhdl_use93 93
235
Project_File_3 = ../../lib/gaisler/greth/greth.vhd
236
Project_File_P_3 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 207 dont_compile 0 cover_stmt 1 vhdl_use93 93
237
Project_File_4 = ../../lib/gaisler/leon3/top.vhd
238
Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1275404013 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 159 dont_compile 0 cover_stmt 1 vhdl_use93 93
239
Project_File_5 = ../../lib/grlib/stdlib/stdlib.vhd
240
Project_File_P_5 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 1 dont_compile 0 cover_stmt 1 vhdl_use93 93
241
Project_File_6 = ../../lib/grlib/sparc/sparc_disas.vhd
242
Project_File_P_6 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 5 dont_compile 0 cover_stmt 1 vhdl_use93 93
243
Project_File_7 = ../../lib/tech/unisim/simprims/xilinx_mem.vhd
244
Project_File_P_7 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to unisim compile_order 19 dont_compile 0 cover_stmt 1 vhdl_use93 93
245
Project_File_8 = ../../lib/gaisler/leon3/grfpwx.vhd
246
Project_File_P_8 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 145 dont_compile 0 cover_stmt 1 vhdl_use93 93
247
Project_File_9 = ../../lib/gaisler/misc/i2cslv.vhd
248
Project_File_P_9 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 183 dont_compile 0 cover_stmt 1 vhdl_use93 93
249
Project_File_10 = ../../lib/gaisler/arith/mul32.vhd
250
Project_File_P_10 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 114 dont_compile 0 cover_stmt 1 vhdl_use93 93
251
Project_File_11 = ../../lib/gaisler/misc/charrom_package.vhd
252
Project_File_P_11 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 176 dont_compile 0 cover_stmt 1 vhdl_use93 93
253
Project_File_12 = ../../lib/grlib/sparc/sparc.vhd
254
Project_File_P_12 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 4 dont_compile 0 cover_stmt 1 vhdl_use93 93
255
Project_File_13 = ../../lib/gaisler/spacewire/grspwm.vhd
256
Project_File_P_13 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 213 dont_compile 0 cover_stmt 1 vhdl_use93 93
257
Project_File_14 = ../../lib/gaisler/misc/apbps2.vhd
258
Project_File_P_14 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 175 dont_compile 0 cover_stmt 1 vhdl_use93 93
259
Project_File_15 = ../../lib/techmap/maps/regfile_3p.vhd
260
Project_File_P_15 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 58 dont_compile 0 cover_stmt 1 vhdl_use93 93
261
Project_File_16 = ../../lib/opencores/ata/atahost_dma_fifo.vhd
262
Project_File_P_16 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 103 dont_compile 0 cover_stmt 1 vhdl_use93 93
263
Project_File_17 = ../../lib/gaisler/uart/libdcom.vhd
264
Project_File_P_17 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 188 dont_compile 0 cover_stmt 1 vhdl_use93 93
265
Project_File_18 = ../../lib/gaisler/leon3/acache.vhd
266
Project_File_P_18 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 140 dont_compile 0 cover_stmt 1 vhdl_use93 93
267
Project_File_19 = ../../lib/grlib/stdlib/stdio.vhd
268
Project_File_P_19 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 2 dont_compile 0 cover_stmt 1 vhdl_use93 93
269
Project_File_20 = ../../lib/techmap/unisim/ddr_phy_unisim.vhd
270
Project_File_P_20 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 36 dont_compile 0 cover_stmt 1 vhdl_use93 93
271
Project_File_21 = ../../lib/micron/sdram/components.vhd
272
Project_File_P_21 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to micron compile_order 236 dont_compile 0 cover_stmt 1 vhdl_use93 93
273
Project_File_22 = ../../lib/gaisler/jtag/jtagtst.vhd
274
Project_File_P_22 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 205 dont_compile 0 cover_stmt 1 vhdl_use93 93
275
Project_File_23 = ../../lib/gaisler/leon3/my_mux.vhd
276
Project_File_P_23 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1248779408 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 158 dont_compile 0 cover_stmt 1 vhdl_use93 93
277
Project_File_24 = ../../lib/eth/comp/ethcomp.vhd
278
Project_File_P_24 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 84 dont_compile 0 cover_stmt 1 vhdl_use93 93
279
Project_File_25 = ../../lib/techmap/maps/syncram64.vhd
280
Project_File_P_25 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 54 dont_compile 0 cover_stmt 1 vhdl_use93 93
281
Project_File_26 = ../../lib/gaisler/uart/ahbuart.vhd
282
Project_File_P_26 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 192 dont_compile 0 cover_stmt 1 vhdl_use93 93
283
Project_File_27 = ../../lib/gaisler/can/can.vhd
284
Project_File_P_27 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 160 dont_compile 0 cover_stmt 1 vhdl_use93 93
285
Project_File_28 = ../../lib/gaisler/sim/sram.vhd
286
Project_File_P_28 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 195 dont_compile 0 cover_stmt 1 vhdl_use93 93
287
Project_File_29 = ../../lib/techmap/maps/allpads.vhd
288
Project_File_P_29 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 45 dont_compile 0 cover_stmt 1 vhdl_use93 93
289
Project_File_30 = ../../lib/gaisler/uart/dcom.vhd
290
Project_File_P_30 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 190 dont_compile 0 cover_stmt 1 vhdl_use93 93
291
Project_File_31 = ../../lib/techmap/dw02/mul_dw_gen.vhd
292
Project_File_P_31 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 29 dont_compile 0 cover_stmt 1 vhdl_use93 93
293
Project_File_32 = ../../lib/gaisler/ata/atactrl_dma.vhd
294
Project_File_P_32 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 221 dont_compile 0 cover_stmt 1 vhdl_use93 93
295
Project_File_33 = ../../lib/gaisler/vlog/ulit.v
296
Project_File_P_33 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275592495 vlog_disableopt 0 cover_optlevel 2 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog compile_order 225 cover_nosub 0 dont_compile 0
297
Project_File_34 = ../../lib/grlib/amba/defmst.vhd
298
Project_File_P_34 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 11 dont_compile 0 cover_stmt 1 vhdl_use93 93
299
Project_File_35 = ../../lib/gaisler/can/canmux.vhd
300
Project_File_P_35 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 164 dont_compile 0 cover_stmt 1 vhdl_use93 93
301
Project_File_36 = ../../lib/gaisler/misc/ahbram.vhd
302
Project_File_P_36 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 169 dont_compile 0 cover_stmt 1 vhdl_use93 93
303
Project_File_37 = ../../lib/gaisler/jtag/ahbjtag_bsd.vhd
304
Project_File_P_37 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 204 dont_compile 0 cover_stmt 1 vhdl_use93 93
305
Project_File_38 = ../../lib/eth/core/grethc.vhd
306
Project_File_P_38 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 90 dont_compile 0 cover_stmt 1 vhdl_use93 93
307
Project_File_39 = ../../lib/techmap/maps/ringosc.vhd
308
Project_File_P_39 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 80 dont_compile 0 cover_stmt 1 vhdl_use93 93
309
Project_File_40 = ../../lib/eth/wrapper/greth_gbit_gen.vhd
310
Project_File_P_40 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 92 dont_compile 0 cover_stmt 1 vhdl_use93 93
311
Project_File_41 = ../../lib/techmap/maps/inpad_ds.vhd
312
Project_File_P_41 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 64 dont_compile 0 cover_stmt 1 vhdl_use93 93
313
Project_File_42 = ../../lib/techmap/inferred/mul_inferred.vhd
314
Project_File_P_42 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 27 dont_compile 0 cover_stmt 1 vhdl_use93 93
315
Project_File_43 = ../../lib/gaisler/jtag/ahbjtag.vhd
316
Project_File_P_43 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 203 dont_compile 0 cover_stmt 1 vhdl_use93 93
317
Project_File_44 = ../../lib/gaisler/vlog/RF_stage1.v
318
Project_File_P_44 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275405270 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 227 dont_compile 0 cover_nosub 0
319
Project_File_45 = ../../lib/work/debug/debug.vhd
320
Project_File_P_45 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 238 dont_compile 0 cover_stmt 1 vhdl_use93 93
321
Project_File_46 = ../../lib/techmap/unisim/pads_unisim.vhd
322
Project_File_P_46 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 32 dont_compile 0 cover_stmt 1 vhdl_use93 93
323
Project_File_47 = ../../lib/gaisler/vlog/decode_pipe1.v
324
Project_File_P_47 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275592244 vlog_disableopt 0 cover_optlevel 2 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog compile_order 223 cover_nosub 0 dont_compile 0
325
Project_File_48 = ../../lib/techmap/maps/outpad_ds.vhd
326
Project_File_P_48 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 71 dont_compile 0 cover_stmt 1 vhdl_use93 93
327
Project_File_49 = ../../lib/gaisler/misc/rstgen.vhd
328
Project_File_P_49 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 167 dont_compile 0 cover_stmt 1 vhdl_use93 93
329
Project_File_50 = ../../lib/gaisler/ata/ata_inf.vhd
330
Project_File_P_50 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 216 dont_compile 0 cover_stmt 1 vhdl_use93 93
331
Project_File_51 = ../../lib/gaisler/greth/ethernet_mac.vhd
332
Project_File_P_51 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 206 dont_compile 0 cover_stmt 1 vhdl_use93 93
333
Project_File_52 = ../../lib/opencores/can/cancomp.vhd
334
Project_File_P_52 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 94 dont_compile 0 cover_stmt 1 vhdl_use93 93
335
Project_File_53 = ../../lib/grlib/modgen/multlib.vhd
336
Project_File_P_53 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 7 dont_compile 0 cover_stmt 1 vhdl_use93 93
337
Project_File_54 = ../../lib/opencores/i2c/i2coc.vhd
338
Project_File_P_54 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 99 dont_compile 0 cover_stmt 1 vhdl_use93 93
339
Project_File_55 = ../../lib/work/debug/cpu_disas.vhd
340
Project_File_P_55 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 240 dont_compile 0 cover_stmt 1 vhdl_use93 93
341
Project_File_56 = ../../lib/opencores/ata/ocidec2_controller.vhd
342
Project_File_P_56 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 110 dont_compile 0 cover_stmt 1 vhdl_use93 93
343
Project_File_57 = ../../lib/gaisler/leon3/mmutlb.vhd
344
Project_File_P_57 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 136 dont_compile 0 cover_stmt 1 vhdl_use93 93
345
Project_File_58 = ../../lib/work/debug/grtestmod.vhd
346
Project_File_P_58 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 239 dont_compile 0 cover_stmt 1 vhdl_use93 93
347
Project_File_59 = ../../lib/gaisler/misc/ahbtrace.vhd
348
Project_File_P_59 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 170 dont_compile 0 cover_stmt 1 vhdl_use93 93
349
Project_File_60 = ../../lib/gaisler/leon3/mmu.vhd
350
Project_File_P_60 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 138 dont_compile 0 cover_stmt 1 vhdl_use93 93
351
Project_File_61 = ../../lib/gaisler/leon3/dsu3x.vhd
352
Project_File_P_61 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 149 dont_compile 0 cover_stmt 1 vhdl_use93 93
353
Project_File_62 = ../../lib/grlib/stdlib/version.vhd
354
Project_File_P_62 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 0 dont_compile 0 cover_stmt 1 vhdl_use93 93
355
Project_File_63 = ../../lib/techmap/maps/iopad.vhd
356
Project_File_P_63 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 66 dont_compile 0 cover_stmt 1 vhdl_use93 93
357
Project_File_64 = ../../lib/techmap/unisim/grfpw_unisim.vhd
358
Project_File_P_64 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 38 dont_compile 0 cover_stmt 1 vhdl_use93 93
359
Project_File_65 = ../../lib/techmap/maps/ddr_oreg.vhd
360
Project_File_P_65 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 51 dont_compile 0 cover_stmt 1 vhdl_use93 93
361
Project_File_66 = ../../lib/gaisler/sim/ahbrep.vhd
362
Project_File_P_66 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 199 dont_compile 0 cover_stmt 1 vhdl_use93 93
363
Project_File_67 = ../../lib/gaisler/spacewire/grspw.vhd
364
Project_File_P_67 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 211 dont_compile 0 cover_stmt 1 vhdl_use93 93
365
Project_File_68 = ../../lib/gaisler/memctrl/srctrl.vhd
366
Project_File_P_68 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 119 dont_compile 0 cover_stmt 1 vhdl_use93 93
367
Project_File_69 = ../../lib/gaisler/ata/ocidec2_amba_slave.vhd
368
Project_File_P_69 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 219 dont_compile 0 cover_stmt 1 vhdl_use93 93
369
Project_File_70 = ../../lib/grlib/amba/apbctrl.vhd
370
Project_File_P_70 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 12 dont_compile 0 cover_stmt 1 vhdl_use93 93
371
Project_File_71 = ../../lib/opencores/ata/atahost_dma_tctrl.vhd
372
Project_File_P_71 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 105 dont_compile 0 cover_stmt 1 vhdl_use93 93
373
Project_File_72 = ../../lib/spw/comp/spwcomp.vhd
374
Project_File_P_72 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to spw compile_order 82 dont_compile 0 cover_stmt 1 vhdl_use93 93
375
Project_File_73 = ../../lib/gaisler/leon3/grlfpwx.vhd
376
Project_File_P_73 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 147 dont_compile 0 cover_stmt 1 vhdl_use93 93
377
Project_File_74 = ../../lib/gaisler/leon3/cpu_disasx.vhd
378
Project_File_P_74 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 144 dont_compile 0 cover_stmt 1 vhdl_use93 93
379
Project_File_75 = ../../lib/gaisler/misc/ahbdma.vhd
380
Project_File_P_75 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 179 dont_compile 0 cover_stmt 1 vhdl_use93 93
381
Project_File_76 = ../../lib/opencores/can/can_top.vhd
382
Project_File_P_76 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 95 dont_compile 0 cover_stmt 1 vhdl_use93 93
383
Project_File_77 = ../../lib/gaisler/misc/apbvga.vhd
384
Project_File_P_77 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 178 dont_compile 0 cover_stmt 1 vhdl_use93 93
385
Project_File_78 = ../../lib/esa/memoryctrl/memoryctrl.vhd
386
Project_File_P_78 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to esa compile_order 233 dont_compile 0 cover_stmt 1 vhdl_use93 93
387
Project_File_79 = ../../lib/techmap/unisim/buffer_unisim.vhd
388
Project_File_P_79 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 31 dont_compile 0 cover_stmt 1 vhdl_use93 93
389
Project_File_80 = ../../lib/gaisler/leon3/icache.vhd
390
Project_File_P_80 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 142 dont_compile 0 cover_stmt 1 vhdl_use93 93
391
Project_File_81 = testbench.vhd
392
Project_File_P_81 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1270403637 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 245 dont_compile 0 cover_stmt 1 vhdl_use93 93
393
Project_File_82 = ../../lib/gaisler/vlog/forward.v
394
Project_File_P_82 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1250031948 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 231 dont_compile 0 cover_nosub 0
395
Project_File_83 = ../../lib/techmap/inferred/memory_inferred.vhd
396
Project_File_P_83 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 25 dont_compile 0 cover_stmt 1 vhdl_use93 93
397
Project_File_84 = ../../lib/gaisler/uart/apbuart.vhd
398
Project_File_P_84 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 189 dont_compile 0 cover_stmt 1 vhdl_use93 93
399
Project_File_85 = ../../lib/gaisler/sim/i2c_slave_model.v
400
Project_File_P_85 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1208957498 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options {} compile_order 193 dont_compile 0
401
Project_File_86 = ../../lib/gaisler/leon3/libproc3.vhd
402
Project_File_P_86 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 128 dont_compile 0 cover_stmt 1 vhdl_use93 93
403
Project_File_87 = ../../lib/techmap/maps/grusbhc_net.vhd
404
Project_File_P_87 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 79 dont_compile 0 cover_stmt 1 vhdl_use93 93
405
Project_File_88 = ../../lib/opencores/ata/atahost_pio_tctrl.vhd
406
Project_File_P_88 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 106 dont_compile 0 cover_stmt 1 vhdl_use93 93
407
Project_File_89 = ../../lib/gaisler/can/can_rd.vhd
408
Project_File_P_89 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 165 dont_compile 0 cover_stmt 1 vhdl_use93 93
409
Project_File_90 = ../../lib/esa/memoryctrl/mctrl.vhd
410
Project_File_P_90 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to esa compile_order 234 dont_compile 0 cover_stmt 1 vhdl_use93 93
411
Project_File_91 = ../../lib/gaisler/misc/grgpio.vhd
412
Project_File_P_91 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 172 dont_compile 0 cover_stmt 1 vhdl_use93 93
413
Project_File_92 = ../../lib/gaisler/misc/spictrl.vhd
414
Project_File_P_92 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 182 dont_compile 0 cover_stmt 1 vhdl_use93 93
415
Project_File_93 = ../../lib/gaisler/spacewire/spacewire.vhd
416
Project_File_P_93 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 210 dont_compile 0 cover_stmt 1 vhdl_use93 93
417
Project_File_94 = ../../lib/eth/core/greth_rx.vhd
418
Project_File_P_94 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 89 dont_compile 0 cover_stmt 1 vhdl_use93 93
419
Project_File_95 = ../../lib/gaisler/leon3/mmu_dcache.vhd
420
Project_File_P_95 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 131 dont_compile 0 cover_stmt 1 vhdl_use93 93
421
Project_File_96 = ../../lib/techmap/maps/syncram_2p.vhd
422
Project_File_P_96 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 55 dont_compile 0 cover_stmt 1 vhdl_use93 93
423
Project_File_97 = ../../lib/techmap/maps/ddr_ireg.vhd
424
Project_File_P_97 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 50 dont_compile 0 cover_stmt 1 vhdl_use93 93
425
Project_File_98 = ../../lib/techmap/maps/syncram_dp.vhd
426
Project_File_P_98 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 56 dont_compile 0 cover_stmt 1 vhdl_use93 93
427
Project_File_99 = ../../lib/grlib/util/util.vhd
428
Project_File_P_99 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 3 dont_compile 0 cover_stmt 1 vhdl_use93 93
429
Project_File_100 = ../../lib/gaisler/leon3/libiu.vhd
430
Project_File_P_100 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1275402598 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 126 dont_compile 0 cover_stmt 1 vhdl_use93 93
431
Project_File_101 = ../../lib/opencores/occomp/occomp.vhd
432
Project_File_P_101 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 93 dont_compile 0 cover_stmt 1 vhdl_use93 93
433
Project_File_102 = ../../lib/techmap/gencomp/netcomp.vhd
434
Project_File_P_102 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 24 dont_compile 0 cover_stmt 1 vhdl_use93 93
435
Project_File_103 = ../../lib/gaisler/can/can_mc.vhd
436
Project_File_P_103 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 163 dont_compile 0 cover_stmt 1 vhdl_use93 93
437
Project_File_104 = ../../lib/tech/unisim/vcomponents/xilinx_vcomponents.vhd
438
Project_File_P_104 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to unisim compile_order 17 dont_compile 0 cover_stmt 1 vhdl_use93 93
439
Project_File_105 = ../../lib/techmap/maps/syncfifo.vhd
440
Project_File_P_105 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 57 dont_compile 0 cover_stmt 1 vhdl_use93 93
441
Project_File_106 = ../../lib/opencores/ata/atahost_dma_actrl.vhd
442
Project_File_P_106 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 104 dont_compile 0 cover_stmt 1 vhdl_use93 93
443
Project_File_107 = ../../lib/techmap/maps/clkmux.vhd
444
Project_File_P_107 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 48 dont_compile 0 cover_stmt 1 vhdl_use93 93
445
Project_File_108 = ../../lib/gaisler/leon3/proc3.vhd
446
Project_File_P_108 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1275402556 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 151 dont_compile 0 cover_stmt 1 vhdl_use93 93
447
Project_File_109 = ../../lib/techmap/maps/outpad.vhd
448
Project_File_P_109 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 70 dont_compile 0 cover_stmt 1 vhdl_use93 93
449
Project_File_110 = ../../lib/tech/dw02/comp/DW02_components.vhd
450
Project_File_P_110 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to dw02 compile_order 20 dont_compile 0 cover_stmt 1 vhdl_use93 93
451
Project_File_111 = ../../lib/grlib/amba/amba.vhd
452
Project_File_P_111 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 9 dont_compile 0 cover_stmt 1 vhdl_use93 93
453
Project_File_112 = ../../lib/gaisler/leon3/libcache.vhd
454
Project_File_P_112 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 127 dont_compile 0 cover_stmt 1 vhdl_use93 93
455
Project_File_113 = ../../lib/gaisler/leon3/leon3s.vhd
456
Project_File_P_113 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1248369878 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 152 dont_compile 0 cover_stmt 1 vhdl_use93 93
457
Project_File_114 = ../../lib/gaisler/misc/logan.vhd
458
Project_File_P_114 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 174 dont_compile 0 cover_stmt 1 vhdl_use93 93
459
Project_File_115 = ../../lib/gaisler/arith/div32.vhd
460
Project_File_P_115 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 115 dont_compile 0 cover_stmt 1 vhdl_use93 93
461
Project_File_116 = ../../lib/opencores/ata/ro_cnt.vhd
462
Project_File_P_116 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 102 dont_compile 0 cover_stmt 1 vhdl_use93 93
463
Project_File_117 = ../../lib/techmap/maps/clkpad.vhd
464
Project_File_P_117 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 61 dont_compile 0 cover_stmt 1 vhdl_use93 93
465
Project_File_118 = ../../lib/gaisler/misc/ahbstat.vhd
466
Project_File_P_118 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 173 dont_compile 0 cover_stmt 1 vhdl_use93 93
467
Project_File_119 = ../../lib/opencores/ac97/ac97_top.v
468
Project_File_P_119 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1214675124 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to opencores vlog_upper 0 vlog_options {} compile_order 112 dont_compile 0
469
Project_File_120 = ../../lib/opencores/ata/ata_device_oc.v
470
Project_File_P_120 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1197242596 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to opencores vlog_upper 0 vlog_options {} compile_order 111 dont_compile 0
471
Project_File_121 = ../../lib/eth/core/eth_ahb_mst.vhd
472
Project_File_P_121 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 87 dont_compile 0 cover_stmt 1 vhdl_use93 93
473
Project_File_122 = ../../lib/gaisler/leon3/irqmp.vhd
474
Project_File_P_122 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 154 dont_compile 0 cover_stmt 1 vhdl_use93 93
475
Project_File_123 = ../../lib/micron/sdram/mobile_sdr.v
476
Project_File_P_123 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1215074612 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to micron vlog_upper 0 vlog_options {} compile_order 235 dont_compile 0
477
Project_File_124 = ../../lib/gaisler/ata/ata.vhd
478
Project_File_P_124 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 215 dont_compile 0 cover_stmt 1 vhdl_use93 93
479
Project_File_125 = ../../lib/techmap/maps/grlfpw_net.vhd
480
Project_File_P_125 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 75 dont_compile 0 cover_stmt 1 vhdl_use93 93
481
Project_File_126 = ../../lib/gaisler/leon3/mmu_acache.vhd
482
Project_File_P_126 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 132 dont_compile 0 cover_stmt 1 vhdl_use93 93
483
Project_File_127 = ../../lib/gaisler/misc/wild.vhd
484
Project_File_P_127 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 184 dont_compile 0 cover_stmt 1 vhdl_use93 93
485
Project_File_128 = ../../lib/opencores/ata/atahost_pio_actrl.vhd
486
Project_File_P_128 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 107 dont_compile 0 cover_stmt 1 vhdl_use93 93
487
Project_File_129 = ../../lib/techmap/maps/grfpw_net.vhd
488
Project_File_P_129 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 76 dont_compile 0 cover_stmt 1 vhdl_use93 93
489
Project_File_130 = ../../lib/techmap/unisim/ssrctrl_unisim.vhd
490
Project_File_P_130 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 41 dont_compile 0 cover_stmt 1 vhdl_use93 93
491
Project_File_131 = ../../lib/techmap/unisim/tap_unisim.vhd
492
Project_File_P_131 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 34 dont_compile 0 cover_stmt 1 vhdl_use93 93
493
Project_File_132 = ../../lib/opencores/ata/ud_cnt.vhd
494
Project_File_P_132 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 101 dont_compile 0 cover_stmt 1 vhdl_use93 93
495
Project_File_133 = ../../lib/gaisler/leon3/leon3.vhd
496
Project_File_P_133 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 121 dont_compile 0 cover_stmt 1 vhdl_use93 93
497
Project_File_134 = ../../lib/gaisler/leon3/mfpwx.vhd
498
Project_File_P_134 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 146 dont_compile 0 cover_stmt 1 vhdl_use93 93
499
Project_File_135 = ../../lib/tech/unisim/simprims/xilinx_simprims.vhd
500
Project_File_P_135 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to unisim compile_order 18 dont_compile 0 cover_stmt 1 vhdl_use93 93
501
Project_File_136 = ../../lib/grlib/amba/dma2ahb_tp.vhd
502
Project_File_P_136 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 16 dont_compile 0 cover_stmt 1 vhdl_use93 93
503
Project_File_137 = ../../lib/techmap/maps/odpad.vhd
504
Project_File_P_137 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 69 dont_compile 0 cover_stmt 1 vhdl_use93 93
505
Project_File_138 = ../../lib/gaisler/vlog/ctl_fsm1.v
506
Project_File_P_138 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275302348 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 226 dont_compile 0 cover_nosub 0
507
Project_File_139 = ../../lib/grlib/amba/devices.vhd
508
Project_File_P_139 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 10 dont_compile 0 cover_stmt 1 vhdl_use93 93
509
Project_File_140 = ../../lib/techmap/maps/toutpad.vhd
510
Project_File_P_140 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 72 dont_compile 0 cover_stmt 1 vhdl_use93 93
511
Project_File_141 = ../../lib/gaisler/leon3/cache.vhd
512
Project_File_P_141 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 143 dont_compile 0 cover_stmt 1 vhdl_use93 93
513
Project_File_142 = ../../lib/techmap/maps/syncram.vhd
514
Project_File_P_142 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 53 dont_compile 0 cover_stmt 1 vhdl_use93 93
515
Project_File_143 = ../../lib/techmap/maps/skew_outpad.vhd
516
Project_File_P_143 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 73 dont_compile 0 cover_stmt 1 vhdl_use93 93
517
Project_File_144 = ../../lib/gaisler/leon3/mmulru.vhd
518
Project_File_P_144 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 135 dont_compile 0 cover_stmt 1 vhdl_use93 93
519
Project_File_145 = ../../lib/gaisler/usb/grusb.vhd
520
Project_File_P_145 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 214 dont_compile 0 cover_stmt 1 vhdl_use93 93
521
Project_File_146 = ../../lib/opencores/spi/simple_spi_top.v
522
Project_File_P_146 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1194883496 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to opencores vlog_upper 0 vlog_options {} compile_order 100 dont_compile 0
523
Project_File_147 = vga_clkgen.vhd
524
Project_File_P_147 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 243 dont_compile 0 cover_stmt 1 vhdl_use93 93
525
Project_File_148 = ../../lib/spw/wrapper/grspw_gen.vhd
526
Project_File_P_148 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to spw compile_order 83 dont_compile 0 cover_stmt 1 vhdl_use93 93
527
Project_File_149 = ../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd
528
Project_File_P_149 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 97 dont_compile 0 cover_stmt 1 vhdl_use93 93
529
Project_File_150 = ../../lib/gaisler/arith/arith.vhd
530
Project_File_P_150 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 113 dont_compile 0 cover_stmt 1 vhdl_use93 93
531
Project_File_151 = ../../lib/gaisler/uart/uart.vhd
532
Project_File_P_151 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 187 dont_compile 0 cover_stmt 1 vhdl_use93 93
533
Project_File_152 = ../../lib/gaisler/greth/greth_gbit.vhd
534
Project_File_P_152 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 208 dont_compile 0 cover_stmt 1 vhdl_use93 93
535
Project_File_153 = ../../lib/techmap/unisim/grspwc_unisim.vhd
536
Project_File_P_153 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 37 dont_compile 0 cover_stmt 1 vhdl_use93 93
537
Project_File_154 = ../../lib/gaisler/misc/svgactrl.vhd
538
Project_File_P_154 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 180 dont_compile 0 cover_stmt 1 vhdl_use93 93
539
Project_File_155 = ../../lib/opencores/ata/atahost_pio_controller.vhd
540
Project_File_P_155 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 109 dont_compile 0 cover_stmt 1 vhdl_use93 93
541
Project_File_156 = ../../lib/gaisler/leon3/mmu_cache.vhd
542
Project_File_P_156 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 139 dont_compile 0 cover_stmt 1 vhdl_use93 93
543
Project_File_157 = ../../lib/gaisler/leon3/leon3cg.vhd
544
Project_File_P_157 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 153 dont_compile 0 cover_stmt 1 vhdl_use93 93
545
Project_File_158 = ../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd
546
Project_File_P_158 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 98 dont_compile 0 cover_stmt 1 vhdl_use93 93
547
Project_File_159 = ../../lib/eth/core/eth_rstgen.vhd
548
Project_File_P_159 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 86 dont_compile 0 cover_stmt 1 vhdl_use93 93
549
Project_File_160 = ../../lib/gaisler/memctrl/memctrl.vhd
550
Project_File_P_160 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 116 dont_compile 0 cover_stmt 1 vhdl_use93 93
551
Project_File_161 = ../../lib/techmap/maps/clkpad_ds.vhd
552
Project_File_P_161 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 62 dont_compile 0 cover_stmt 1 vhdl_use93 93
553
Project_File_162 = ../../lib/opencores/can/can_top_core_sync.vhd
554
Project_File_P_162 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 96 dont_compile 0 cover_stmt 1 vhdl_use93 93
555
Project_File_163 = ../../lib/techmap/maps/iodpad.vhd
556
Project_File_P_163 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 65 dont_compile 0 cover_stmt 1 vhdl_use93 93
557
Project_File_164 = ../../lib/techmap/maps/mul_61x61.vhd
558
Project_File_P_164 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 77 dont_compile 0 cover_stmt 1 vhdl_use93 93
559
Project_File_165 = ../../lib/micron/sdram/mt48lc16m16a2.vhd
560
Project_File_P_165 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to micron compile_order 237 dont_compile 0 cover_stmt 1 vhdl_use93 93
561
Project_File_166 = ../../lib/gaisler/misc/ahbmst.vhd
562
Project_File_P_166 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 171 dont_compile 0 cover_stmt 1 vhdl_use93 93
563
Project_File_167 = ../../lib/techmap/unisim/grusbhc_unisimpkg.vhd
564
Project_File_P_167 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 39 dont_compile 0 cover_stmt 1 vhdl_use93 93
565
Project_File_168 = ../../lib/gaisler/vlog/mips789_defs.v
566
Project_File_P_168 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1248197262 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options {} compile_order 229 dont_compile 0
567
Project_File_169 = ../../lib/gaisler/leon3/mmuconfig.vhd
568
Project_File_P_169 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 123 dont_compile 0 cover_stmt 1 vhdl_use93 93
569
Project_File_170 = ../../lib/techmap/maps/iopad_ds.vhd
570
Project_File_P_170 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 67 dont_compile 0 cover_stmt 1 vhdl_use93 93
571
Project_File_171 = ../../lib/techmap/maps/ssrctrl_net.vhd
572
Project_File_P_171 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 81 dont_compile 0 cover_stmt 1 vhdl_use93 93
573
Project_File_172 = ../../lib/eth/core/greth_tx.vhd
574
Project_File_P_172 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 88 dont_compile 0 cover_stmt 1 vhdl_use93 93
575
Project_File_173 = ../../lib/gaisler/memctrl/sdctrl.vhd
576
Project_File_P_173 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 117 dont_compile 0 cover_stmt 1 vhdl_use93 93
577
Project_File_174 = ../../lib/gaisler/greth/grethm.vhd
578
Project_File_P_174 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 209 dont_compile 0 cover_stmt 1 vhdl_use93 93
579
Project_File_175 = ../../lib/techmap/maps/alltap.vhd
580
Project_File_P_175 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 46 dont_compile 0 cover_stmt 1 vhdl_use93 93
581
Project_File_176 = ../../lib/grlib/modgen/leaves.vhd
582
Project_File_P_176 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 8 dont_compile 0 cover_stmt 1 vhdl_use93 93
583
Project_File_177 = ../../lib/eth/wrapper/greth_gen.vhd
584
Project_File_P_177 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 91 dont_compile 0 cover_stmt 1 vhdl_use93 93
585
Project_File_178 = ../../lib/gaisler/misc/i2cmst.vhd
586
Project_File_P_178 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 181 dont_compile 0 cover_stmt 1 vhdl_use93 93
587
Project_File_179 = ../../lib/gaisler/net/net.vhd
588
Project_File_P_179 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 186 dont_compile 0 cover_stmt 1 vhdl_use93 93
589
Project_File_180 = ../../lib/gaisler/leon3/dsu3.vhd
590
Project_File_P_180 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 150 dont_compile 0 cover_stmt 1 vhdl_use93 93
591
Project_File_181 = ../../lib/gaisler/leon3/tbufmem.vhd
592
Project_File_P_181 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 148 dont_compile 0 cover_stmt 1 vhdl_use93 93
593
Project_File_182 = ../../lib/gaisler/vlog/RF_components1.v
594
Project_File_P_182 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275085444 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 228 dont_compile 0 cover_nosub 0
595
Project_File_183 = ../../lib/gaisler/jtag/jtag.vhd
596
Project_File_P_183 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 200 dont_compile 0 cover_stmt 1 vhdl_use93 93
597
Project_File_184 = ../../lib/techmap/maps/lvds_combo.vhd
598
Project_File_P_184 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 68 dont_compile 0 cover_stmt 1 vhdl_use93 93
599
Project_File_185 = ../../lib/opencores/ata/atahost_controller.vhd
600
Project_File_P_185 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to opencores compile_order 108 dont_compile 0 cover_stmt 1 vhdl_use93 93
601
Project_File_186 = ../../lib/techmap/maps/techbuf.vhd
602
Project_File_P_186 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 60 dont_compile 0 cover_stmt 1 vhdl_use93 93
603
Project_File_187 = ../../lib/gaisler/memctrl/sdmctrl.vhd
604
Project_File_P_187 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 118 dont_compile 0 cover_stmt 1 vhdl_use93 93
605
Project_File_188 = ../../lib/gaisler/can/can_oc.vhd
606
Project_File_P_188 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 162 dont_compile 0 cover_stmt 1 vhdl_use93 93
607
Project_File_189 = ../../lib/synplify/sim/synplify.vhd
608
Project_File_P_189 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to synplify compile_order 21 dont_compile 0 cover_stmt 1 vhdl_use93 93
609
Project_File_190 = ../../lib/gaisler/ata/atahost_ahbmst.vhd
610
Project_File_P_190 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 218 dont_compile 0 cover_stmt 1 vhdl_use93 93
611
Project_File_191 = ../../lib/techmap/maps/inpad.vhd
612
Project_File_P_191 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 63 dont_compile 0 cover_stmt 1 vhdl_use93 93
613
Project_File_192 = ../../lib/gaisler/uart/dcom_uart.vhd
614
Project_File_P_192 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 191 dont_compile 0 cover_stmt 1 vhdl_use93 93
615
Project_File_193 = ../../lib/gaisler/leon3/mmutlbcam.vhd
616
Project_File_P_193 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 133 dont_compile 0 cover_stmt 1 vhdl_use93 93
617
Project_File_194 = ../../lib/gaisler/leon3/reg_zero.vhd
618
Project_File_P_194 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1272905939 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 122 dont_compile 0 cover_stmt 1 vhdl_use93 93
619
Project_File_195 = ../../lib/gaisler/vlog/core1.v
620
Project_File_P_195 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1275592621 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 232 dont_compile 0 cover_nosub 0
621
Project_File_196 = ../../lib/gaisler/ata/atactrl_nodma.vhd
622
Project_File_P_196 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 220 dont_compile 0 cover_stmt 1 vhdl_use93 93
623
Project_File_197 = ../../lib/gaisler/misc/misc.vhd
624
Project_File_P_197 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 166 dont_compile 0 cover_stmt 1 vhdl_use93 93
625
Project_File_198 = ../../lib/techmap/maps/grspwc_net.vhd
626
Project_File_P_198 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 74 dont_compile 0 cover_stmt 1 vhdl_use93 93
627
Project_File_199 = ../../lib/techmap/unisim/clkgen_unisim.vhd
628
Project_File_P_199 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 33 dont_compile 0 cover_stmt 1 vhdl_use93 93
629
Project_File_200 = ../../lib/techmap/inferred/ddr_phy_inferred.vhd
630
Project_File_P_200 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 28 dont_compile 0 cover_stmt 1 vhdl_use93 93
631
Project_File_201 = ../../lib/techmap/unisim/grusbhc_unisim.vhd
632
Project_File_P_201 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 40 dont_compile 0 cover_stmt 1 vhdl_use93 93
633
Project_File_202 = ../../lib/gaisler/jtag/libjtagcom.vhd
634
Project_File_P_202 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 201 dont_compile 0 cover_stmt 1 vhdl_use93 93
635
Project_File_203 = ../../lib/gaisler/misc/gptimer.vhd
636
Project_File_P_203 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 168 dont_compile 0 cover_stmt 1 vhdl_use93 93
637
Project_File_204 = ../../lib/gaisler/leon3/mmu_icache.vhd
638
Project_File_P_204 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 130 dont_compile 0 cover_stmt 1 vhdl_use93 93
639
Project_File_205 = ../../lib/gaisler/ata/atahost_amba_slave.vhd
640
Project_File_P_205 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 217 dont_compile 0 cover_stmt 1 vhdl_use93 93
641
Project_File_206 = ../../lib/gaisler/leon3/leon3sh.vhd
642
Project_File_P_206 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 157 dont_compile 0 cover_stmt 1 vhdl_use93 93
643
Project_File_207 = ../../lib/techmap/unisim/ddr_unisim.vhd
644
Project_File_P_207 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 35 dont_compile 0 cover_stmt 1 vhdl_use93 93
645
Project_File_208 = ../../lib/gaisler/leon3/grfpushwx.vhd
646
Project_File_P_208 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 156 dont_compile 0 cover_stmt 1 vhdl_use93 93
647
Project_File_209 = ../../lib/gaisler/ata/atactrl.vhd
648
Project_File_P_209 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 222 dont_compile 0 cover_stmt 1 vhdl_use93 93
649
Project_File_210 = ../../lib/gaisler/leon3/grfpwxsh.vhd
650
Project_File_P_210 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 155 dont_compile 0 cover_stmt 1 vhdl_use93 93
651
Project_File_211 = ../../lib/techmap/inferred/ddr_inferred.vhd
652
Project_File_P_211 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 26 dont_compile 0 cover_stmt 1 vhdl_use93 93
653
Project_File_212 = ../../lib/gaisler/vlog/hazard_unit.v
654
Project_File_P_212 = vlog_protect 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1250031256 vlog_disableopt 0 vlog_hazard 0 vlog_showsource 0 ood 0 compile_to gaisler vlog_upper 0 vlog_options {} compile_order 230 dont_compile 0
655
Project_File_213 = ../../lib/gaisler/sim/ata_device.vhd
656
Project_File_P_213 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 196 dont_compile 0 cover_stmt 1 vhdl_use93 93
657
Project_File_214 = ../../lib/gaisler/misc/charrom.vhd
658
Project_File_P_214 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 177 dont_compile 0 cover_stmt 1 vhdl_use93 93
659
Project_File_215 = ../../lib/techmap/maps/tap.vhd
660
Project_File_P_215 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 59 dont_compile 0 cover_stmt 1 vhdl_use93 93
661
Project_File_216 = ../../lib/gaisler/memctrl/spimctrl.vhd
662
Project_File_P_216 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 120 dont_compile 0 cover_stmt 1 vhdl_use93 93
663
Project_File_217 = ../../lib/gaisler/sim/sim.vhd
664
Project_File_P_217 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 194 dont_compile 0 cover_stmt 1 vhdl_use93 93
665
Project_File_218 = ../../lib/gaisler/can/can_mod.vhd
666
Project_File_P_218 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 161 dont_compile 0 cover_stmt 1 vhdl_use93 93
667
Project_File_219 = ../../lib/techmap/maps/allmem.vhd
668
Project_File_P_219 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 44 dont_compile 0 cover_stmt 1 vhdl_use93 93
669
Project_File_220 = ../../lib/grlib/sparc/cpu_disas.vhd
670
Project_File_P_220 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 6 dont_compile 0 cover_stmt 1 vhdl_use93 93
671
Project_File_221 = config.vhd
672
Project_File_P_221 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1271923519 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 241 dont_compile 0 cover_stmt 1 vhdl_use93 93
673
Project_File_222 = ../../lib/techmap/maps/ddrphy.vhd
674
Project_File_P_222 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 52 dont_compile 0 cover_stmt 1 vhdl_use93 93
675
Project_File_223 = ../../lib/techmap/maps/allddr.vhd
676
Project_File_P_223 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 43 dont_compile 0 cover_stmt 1 vhdl_use93 93
677
Project_File_224 = ahbrom.vhd
678
Project_File_P_224 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 242 dont_compile 0 cover_stmt 1 vhdl_use93 93
679
Project_File_225 = ../../lib/gaisler/misc/wild2ahb.vhd
680
Project_File_P_225 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 185 dont_compile 0 cover_stmt 1 vhdl_use93 93
681
Project_File_226 = ../../lib/gaisler/leon3/dcache.vhd
682
Project_File_P_226 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 141 dont_compile 0 cover_stmt 1 vhdl_use93 93
683
Project_File_227 = ../../lib/techmap/maps/allclkgen.vhd
684
Project_File_P_227 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 42 dont_compile 0 cover_stmt 1 vhdl_use93 93
685
Project_File_228 = ../../lib/techmap/maps/cpu_disas_net.vhd
686
Project_File_P_228 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 78 dont_compile 0 cover_stmt 1 vhdl_use93 93
687
Project_File_229 = leon3mp.vhd
688
Project_File_P_229 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to work compile_order 244 dont_compile 0 cover_stmt 1 vhdl_use93 93
689
Project_File_230 = ../../lib/eth/core/greth_pkg.vhd
690
Project_File_P_230 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to eth compile_order 85 dont_compile 0 cover_stmt 1 vhdl_use93 93
691
Project_File_231 = ../../lib/gaisler/spacewire/grspw2.vhd
692
Project_File_P_231 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 212 dont_compile 0 cover_stmt 1 vhdl_use93 93
693
Project_File_232 = ../../lib/grlib/amba/dma2ahb.vhd
694
Project_File_P_232 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 15 dont_compile 0 cover_stmt 1 vhdl_use93 93
695
Project_File_233 = ../../lib/grlib/amba/dma2ahb_pkg.vhd
696
Project_File_P_233 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to grlib compile_order 14 dont_compile 0 cover_stmt 1 vhdl_use93 93
697
Project_File_234 = ../../lib/gaisler/sim/phy.vhd
698
Project_File_P_234 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 198 dont_compile 0 cover_stmt 1 vhdl_use93 93
699
Project_File_235 = ../../lib/gaisler/sim/sram16.vhd
700
Project_File_P_235 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 197 dont_compile 0 cover_stmt 1 vhdl_use93 93
701
Project_File_236 = ../../lib/gaisler/vlog/EXEC_stage.v
702
Project_File_P_236 = cover_toggle 0 vlog_protect 0 cover_exttoggle 0 file_type verilog group_id 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} vlog_noload 0 last_compile 1267471226 vlog_disableopt 0 vlog_showsource 0 vlog_hazard 0 cover_optlevel 2 ood 0 vlog_options +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog vlog_upper 0 compile_to gaisler compile_order 224 dont_compile 0 cover_nosub 0
703
Project_File_237 = ../../lib/techmap/gencomp/gencomp.vhd
704
Project_File_P_237 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 23 dont_compile 0 cover_stmt 1 vhdl_use93 93
705
Project_File_238 = ../../lib/techmap/unisim/memory_unisim.vhd
706
Project_File_P_238 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 30 dont_compile 0 cover_stmt 1 vhdl_use93 93
707
Project_File_239 = ../../lib/gaisler/leon3/libmmu.vhd
708
Project_File_P_239 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 125 dont_compile 0 cover_stmt 1 vhdl_use93 93
709
Project_File_240 = ../../lib/gaisler/leon3/mmuiface.vhd
710
Project_File_P_240 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 124 dont_compile 0 cover_stmt 1 vhdl_use93 93
711
Project_File_241 = ../../lib/techmap/maps/clkgen.vhd
712
Project_File_P_241 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 47 dont_compile 0 cover_stmt 1 vhdl_use93 93
713
Project_File_242 = ../../lib/techmap/maps/clkand.vhd
714
Project_File_P_242 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to techmap compile_order 49 dont_compile 0 cover_stmt 1 vhdl_use93 93
715
Project_File_243 = ../../lib/gaisler/leon3/mmutw.vhd
716
Project_File_P_243 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 137 dont_compile 0 cover_stmt 1 vhdl_use93 93
717
Project_File_244 = ../../lib/gaisler/leon3/cachemem.vhd
718
Project_File_P_244 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856210 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to gaisler compile_order 129 dont_compile 0 cover_stmt 1 vhdl_use93 93
719
Project_File_245 = ../../lib/synplify/sim/synattr.vhd
720
Project_File_P_245 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vlog_1995compat 0 vhdl_synth 0 folder {Top Level} last_compile 1222856212 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 0 vhdl_warn2 1 vhdl_explicit 0 vhdl_showsource 1 vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 0 compile_to synplify compile_order 22 dont_compile 0 cover_stmt 1 vhdl_use93 93
721
Project_Sim_Count = 1
722
Project_Sim_0 = Simulation 1
723
Project_Sim_P_0 = Generics {} timing default -std_output {} +notimingchecks 0 -L {} selected_du {} -hazards 0 -sdf {} +acc {} ok 1 folder {Top Level} -absentisempty 0 +pulse_r {} OtherArgs {} -multisource_delay {} +pulse_e {} -coverage 0 -sdfnoerror 0 +plusarg {} -vital2.2b 0 -t ps additional_dus work.testbench -nofileshare 0 -noglitch 0 -wlf {} +no_pulse_msg 0 -assertfile {} -sdfnowarn 0 -Lf {} -std_input {}
724
Project_Folder_Count = 0
725
Echo_Compile_Output = 0
726
Save_Compile_Report = 1
727
Project_Opt_Count = 0
728
ForceSoftPaths = 0
729
ReOpenSourceFiles = 1
730
CloseSourceFiles = 1
731
ProjectStatusDelay = 5000
732
VERILOG_DoubleClick = Edit
733
VERILOG_CustomDoubleClick =
734
SYSTEMVERILOG_DoubleClick = Edit
735
SYSTEMVERILOG_CustomDoubleClick =
736
VHDL_DoubleClick = Edit
737
VHDL_CustomDoubleClick =
738
PSL_DoubleClick = Edit
739
PSL_CustomDoubleClick =
740
TEXT_DoubleClick = Edit
741
TEXT_CustomDoubleClick =
742
SYSTEMC_DoubleClick = Edit
743
SYSTEMC_CustomDoubleClick =
744
TCL_DoubleClick = Edit
745
TCL_CustomDoubleClick =
746
MACRO_DoubleClick = Edit
747
MACRO_CustomDoubleClick =
748
VCD_DoubleClick = Edit
749
VCD_CustomDoubleClick =
750
SDF_DoubleClick = Edit
751
SDF_CustomDoubleClick =
752
XML_DoubleClick = Edit
753
XML_CustomDoubleClick =
754
LOGFILE_DoubleClick = Edit
755
LOGFILE_CustomDoubleClick =
756
UCDB_DoubleClick = Edit
757
UCDB_CustomDoubleClick =
758
EditorState = {tabbed horizontal 1} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/ulit.v 0 1} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/decode_pipe1.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/EXEC_stage.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/forward.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/core1.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/RF_stage1.v 0 0} {C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/RF_components1.v 0 0}
759
Project_Major_Version = 6
760
Project_Minor_Version = 3

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.