OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [wave.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/clk
4
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/rstn
5
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/holdn
6
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/ahbi
7
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/ahbo
8
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/ahbsi
9
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/ahbso
10
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/rfi
11
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/rfo
12
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/crami
13
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/cramo
14
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/tbi
15
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/tbo
16
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/fpi
17
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/fpo
18
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/cpi
19
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/cpo
20
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/irqi
21
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/irqo
22
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/dbgi
23
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/dbgo
24
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/iack_o
25
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/hclk
26
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/sclk
27
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/hclken
28
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/ici
29
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/ico
30
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/dci
31
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/dco
32
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/holdnx
33
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/pholdn
34
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/muli
35
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mulo
36
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/divi
37
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/divo
38
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/din
39
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/zz_ins_i
40
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/clk
41
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/rst
42
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/qa
43
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/qb
44
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/alu_ur
45
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/iset
46
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/dset
47
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/dmem_data_ur
48
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/dmem_ctl_ur
49
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/zz_pc_o1
50
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/zz_pc_o2
51
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/iack_o
52
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/size
53
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/rdaddra_o
54
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/rdaddrb_o
55
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/wb_we_o
56
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/wb_addr_o
57
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/wb_din_o
58
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/iflush
59
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/iflushl
60
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/ifline
61
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/dflush
62
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/dflushl
63
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/read1
64
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/read2
65
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/hold
66
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/inull
67
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/asi
68
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/nullify
69
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/esu
70
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/msu
71
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/intack
72
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/fbranch
73
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/rbranch
74
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/eenaddr
75
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/dmds
76
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/imds
77
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/eaddr
78
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/asi_code
79
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/idata
80
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/ddata
81
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/fbranch1
82
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/zz_pc
83
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/dmem_ctl_ur1
84
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/clk
85
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/rst
86
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/zz_ins_i
87
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/zz_ins_o
88
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/dout
89
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/qa
90
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/qb
91
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/zz_pc_o
92
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iack_o
93
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/cop_addr_o
94
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/dmem_ctl_ur_o
95
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/alu_ur_o
96
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/dmem_data_ur_o
97
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/wb_din_o
98
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/rdaddra_o
99
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/rdaddrb_o
100
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/wb_addr_o
101
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/wb_we_o
102
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/size
103
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/branch
104
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/hold
105
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/imds
106
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/dmds
107
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/asi_pass2
108
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/pc_next
109
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/load
110
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/load_o
111
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/rt_o
112
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/NET1375
113
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/NET1572
114
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/NET1606
115
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/NET1640
116
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/NET21531
117
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/NET457
118
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/NET767
119
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS109
120
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS1158
121
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS117
122
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS1196
123
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS15471
124
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS1724
125
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS1726
126
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS18211
127
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS197
128
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS2140
129
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS2156
130
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS22401
131
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS24839
132
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS27031
133
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS271
134
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS28013
135
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS371
136
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS422
137
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS5832
138
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS5840
139
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS5993
140
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS6275
141
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS7101
142
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS7117
143
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS7160
144
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS7219
145
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS7231
146
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS748
147
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS756
148
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS775
149
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS7772
150
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS7780
151
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/BUS9884
152
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/asi_o
153
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/asi_pass1
154
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/clk
155
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/rst_i
156
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/cmp_ctl_i
157
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/ext_ctl_i
158
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/fw_alu_i
159
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/fw_cmp_rs
160
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/fw_cmp_rt
161
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/fw_mem_i
162
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/id_cmd
163
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/ins_i
164
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/pc_gen_ctl
165
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/pc_i
166
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/rd_sel_i
167
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/wb_din_i
168
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/zz_spc_i
169
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/qa
170
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/qb
171
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/iack_o
172
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/id2ra_ctl_clr_o
173
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/id2ra_ctl_cls_o
174
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/ra2ex_ctl_clr_o
175
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/ext_o
176
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/pc_next
177
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/rd_index_o
178
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/rs_n_o
179
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/rs_o
180
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/rt_n_o
181
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/rt_o
182
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/rdaddra_o
183
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/rdaddrb_o
184
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/branch
185
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/hold
186
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/NET6609
187
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/NET6658
188
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/NET7774
189
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/NET904
190
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/BUS1013
191
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/BUS2085
192
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/BUS3236
193
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/BUS3237
194
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/BUS5421
195
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/BUS6061
196
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/BUS6095
197
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/CLK_NO
198
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iRF_stage/INS_NO
199
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/clk
200
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/rst
201
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/spc_cls_i
202
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/alu_func
203
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/dmem_fw_ctl
204
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/ext_i
205
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/fw_alu
206
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/fw_dmem
207
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/muxa_ctl_i
208
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/muxa_fw_ctl
209
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/muxb_ctl_i
210
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/muxb_fw_ctl
211
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/pc_i
212
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/rs_i
213
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/rt_i
214
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/alu_ur_o
215
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/dmem_data_ur_o
216
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/zz_spc_o
217
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/hold
218
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/BUS2332
219
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/BUS2446
220
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/BUS468
221
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iexec_stage/BUS476
222
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/load
223
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/rt1
224
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/load_o
225
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/clk
226
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/id2ra_ctl_clr
227
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/id2ra_ctl_cls
228
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/ra2ex_ctl_clr
229
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/ins_i
230
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/alu_func_o
231
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/alu_we_o
232
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/cmp_ctl_o
233
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/dmem_ctl_o
234
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/dmem_ctl_ur_o
235
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/ext_ctl_o
236
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/fsm_dly
237
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/muxa_ctl_o
238
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/muxb_ctl_o
239
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/pc_gen_ctl_o
240
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/rd_sel_o
241
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/wb_mux_ctl_o
242
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/wb_we_o
243
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/size
244
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/hold
245
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/asi
246
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2040
247
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2048
248
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2056
249
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2064
250
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2072
251
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2086
252
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2094
253
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2102
254
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2110
255
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2118
256
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/decoder_pipe/BUS2126
257
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/alu_we
258
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/clk
259
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/mem_We
260
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/fw_alu_rn
261
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/fw_mem_rn
262
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/rns_i
263
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/rnt_i
264
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/alu_rs_fw
265
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/alu_rt_fw
266
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/cmp_rs_fw
267
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/cmp_rt_fw
268
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/dmem_fw
269
add wave -noupdate -format Logic /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/hold
270
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/BUS1345
271
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/BUS82
272
add wave -noupdate -format Literal /testbench/cpu/l3/cpu__0/u0/p0/mips/e1/iforward/BUS937
273
TreeUpdate [SetDefaultTree]
274
WaveRestoreCursors {{Cursor 1} {0 ps} 0}
275
configure wave -namecolwidth 150
276
configure wave -valuecolwidth 100
277
configure wave -justifyvalue left
278
configure wave -signalnamewidth 0
279
configure wave -snapdistance 10
280
configure wave -datasetprefix 0
281
configure wave -rowmargin 4
282
configure wave -childrowmargin 2
283
configure wave -gridoffset 0
284
configure wave -gridperiod 1
285
configure wave -griddelta 40
286
configure wave -timeline 0
287
configure wave -timelineunits ns
288
update
289
WaveRestoreZoom {4999998984 ps} {5000000267 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.