OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [xst/] [techmap/] [xil_3116_53] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
V3 559
2
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/gencomp.vhd 2008/10/01.13:16:50 L.33
3
PH techmap/gencomp 1275409216 \
4
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/gencomp.vhd \
5
      PB ieee/std_logic_1164 1236108447 LB grlib CD syncram CD syncram_2p \
6
      CD syncram_dp CD regfile_3p CD syncram64 CD syncramft CD syncram_2pft \
7
      CD syncfifo CD inpad CD inpadv CD iopad CD iopadv CD iopadvv CD iodpad CD iodpadv \
8
      CD outpad CD outpadv CD odpad CD odpadv CD toutpad CD toutpadv CD toutpadvv \
9
      CD skew_outpad CD clkpad CD inpad_ds CD clkpad_ds CD inpad_dsv CD iopad_ds \
10
      CD outpad_ds CD outpad_dsv CD lvds_combo CD techbuf CD clkgen CD clkand \
11
      CD clkmux CD tap CD ddr_ireg CD ddr_oreg CD ddrphy CD ddr2phy CD mul_61x61 \
12
      CD ringosc PB grlib/amba 1275409208
13
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/netcomp.vhd 2008/10/01.13:16:50 L.33
14
PH techmap/netcomp 1275409317 \
15
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/netcomp.vhd \
16
      PB ieee/std_logic_1164 1236108447 LB grlib CD grusbhc_net CD grspwc_net \
17
      CD grlfpw_net CD grfpw_net CD leon3ft_net CD ftmctrl_net CD ssrctrl_net \
18
      PB grlib/amba 1275409208 PH techmap/gencomp 1275409216
19
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd 2008/10/01.13:16:50 L.33
20
EN techmap/generic_syncram 1275409217 \
21
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd \
22
      PB ieee/std_logic_1164 1236108447 LB grlib PB grlib/stdlib 1275409206
23
AR techmap/generic_syncram/behavioral 1275409218 \
24
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd \
25
      EN techmap/generic_syncram 1275409217
26
EN techmap/generic_syncram_2p 1275409219 \
27
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd \
28
      PB ieee/std_logic_1164 1236108447 LB grlib PB grlib/stdlib 1275409206
29
AR techmap/generic_syncram_2p/behav 1275409220 \
30
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd \
31
      EN techmap/generic_syncram_2p 1275409219
32
EN techmap/generic_regfile_3p 1275409221 \
33
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd \
34
      PB ieee/std_logic_1164 1236108447 LB grlib PB grlib/stdlib 1275409206
35
AR techmap/generic_regfile_3p/rtl 1275409222 \
36
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd \
37
      EN techmap/generic_regfile_3p 1275409221
38
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allclkgen.vhd 2008/10/01.13:16:50 L.33
39
PH techmap/allclkgen 1275409240 \
40
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allclkgen.vhd \
41
      PB ieee/std_logic_1164 1236108447 LB techmap CD clkgen_virtex \
42
      CD clkgen_virtex2 CD clkgen_spartan3 CD clkgen_virtex5 CD clkgen_axcelerator \
43
      CD clkgen_altera_mf CD clkgen_cycloneiii CD clkgen_stratixiii \
44
      CD clkgen_rh_lib18t CD clkmul_virtex2 CD clkand_unisim CD clkand_ut025crh \
45
      CD clkmux_unisim CD altera_pll CD clkgen_proasic3 CD cyclone3_pll \
46
      CD stratix3_pll CD clkgen_dare PH techmap/gencomp 1275409216
47
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allmem.vhd 2008/10/01.13:16:50 L.33
48
PH techmap/allmem 1275409227 \
49
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allmem.vhd \
50
      PB ieee/std_logic_1164 1236108447 CD axcel_syncram CD axcel_syncram_2p \
51
      CD proasic_syncram CD proasic_syncram_2p CD proasic3_syncram \
52
      CD proasic3_syncram_2p CD proasic3_syncram_dp CD altera_syncram \
53
      CD altera_syncram_dp CD generic_syncram CD generic_syncram_2p \
54
      CD generic_regfile_3p CD ihp25_syncram CD ec_syncram CD ec_syncram_dp \
55
      CD rh_lib18t_syncram_2p CD rh_lib18t_syncram CD umc_syncram CD rhumc_syncram \
56
      CD virage_syncram CD virage_syncram_dp CD virage90_syncram CD virtex_syncram \
57
      CD virtex_syncram_dp CD virtex2_syncram CD virtex2_syncram_dp \
58
      CD virage90_syncram_dp CD virtex2_syncram64 CD ut025crh_syncram \
59
      CD ut025crh_syncram_2p CD peregrine_regfile_3p CD eclipse_syncram_2p \
60
      CD nextreme_syncram_2p CD custom1_syncram_2p CD artisan_syncram_2p \
61
      CD ihp25rh_syncram CD peregrine_syncram CD artisan_syncram CD custom1_syncram \
62
      CD nextreme_syncram CD virtex2_syncram_2p CD virage_syncram_2p \
63
      CD atc18rha_syncram CD atc18rha_syncram_dp CD atc18rha_syncram_2p \
64
      CD artisan_syncram_dp
65
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allpads.vhd 2008/10/01.13:16:50 L.33
66
PH techmap/allpads 1275409255 \
67
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allpads.vhd \
68
      PB ieee/std_logic_1164 1236108447 LB techmap CD apa3_clkpad CD axcel_inpad \
69
      CD axcel_iopad CD axcel_outpad CD axcel_odpad CD axcel_toutpad CD axcel_clkpad \
70
      CD axcel_inpad_ds CD axcel_outpad_ds CD atc18_inpad CD atc18_iopad \
71
      CD atc18_outpad CD atc18_odpad CD atc18_toutpad CD atc18_clkpad CD ihp25_inpad \
72
      CD ihp25rh_inpad CD ihp25_iopad CD ihp25rh_iopad CD ihp25_outpad \
73
      CD ihp25rh_outpad CD ihp25_toutpad CD ihp25rh_toutpad CD ihp25_clkpad \
74
      CD ihp25rh_clkpad CD rhumc_inpad CD rhumc_iopad CD rhumc_outpad \
75
      CD rhumc_toutpad CD umc_inpad CD umc_iopad CD umc_outpad CD umc_toutpad \
76
      CD virtex_inpad CD virtex_iopad CD virtex_outpad CD virtex_odpad \
77
      CD virtex_toutpad CD virtex_skew_outpad CD virtex_clkpad CD virtex_inpad_ds \
78
      CD virtex5_iopad_ds CD virtex4_inpad_ds CD virtex_outpad_ds \
79
      CD virtex5_outpad_ds CD virtex4_clkpad_ds CD virtex_clkpad_ds \
80
      CD rh_lib18t_inpad CD rh_lib18t_iopad CD rh_lib18t_inpad_ds \
81
      CD rh_lib18t_outpad_ds CD ut025crh_inpad CD ut025crh_iopad CD ut025crh_outpad \
82
      CD ut025crh_toutpad CD ut025crh_lvds_combo CD rhumc_lvds_combo \
83
      CD umc_lvds_combo CD peregrine_inpad CD peregrine_iopad CD peregrine_toutpad \
84
      CD nextreme_inpad CD nextreme_iopad CD nextreme_toutpad CD atc18rha_inpad \
85
      CD atc18rha_iopad CD atc18rha_outpad CD atc18rha_odpad CD atc18rha_toutpad \
86
      CD atc18rha_clkpad PH techmap/gencomp 1275409216
87
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/alltap.vhd 2008/10/01.13:16:50 L.33
88
PH techmap/alltap 1275409282 \
89
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/alltap.vhd \
90
      PB ieee/std_logic_1164 1236108447 CD tap_gen CD virtex_tap CD virtex2_tap \
91
      CD virtex4_tap CD virtex5_tap CD spartan3_tap CD altera_tap CD proasic3_tap
92
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkand.vhd 2008/10/01.13:16:50 L.33
93
EN techmap/clkand 1275409315 \
94
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkand.vhd \
95
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
96
      PH techmap/allclkgen 1275409240
97
AR techmap/clkand/rtl 1275409316 \
98
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkand.vhd EN techmap/clkand 1275409315 \
99
      CP clkand_unisim CP clkand_ut025crh
100
EN techmap/clkgen 0 FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkgen.vhd \
101
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216 \
102
      PH techmap/allclkgen 1275409240
103
AR techmap/clkgen/struct 0 \
104
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkgen.vhd EN techmap/clkgen 0 \
105
      CP clkgen_virtex CP clkgen_virtex2 CP clkgen_virtex5 CP clkgen_spartan3 \
106
      CP clkgen_altera_mf CP clkgen_cycloneiii CP clkgen_stratixiii \
107
      CP clkgen_rh_lib18t CP clkgen_proasic3 CP clkgen_dare
108
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkpad.vhd 2008/10/01.13:16:50 L.33
109
EN techmap/clkpad 1275409346 \
110
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkpad.vhd LB techmap \
111
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
112
      PH techmap/allpads 1275409255
113
AR techmap/clkpad/rtl 1275409347 \
114
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkpad.vhd EN techmap/clkpad 1275409346 \
115
      CP virtex_clkpad CP axcel_clkpad CP apa3_clkpad CP atc18_clkpad \
116
      CP atc18rha_clkpad CP umc_inpad CP rhumc_inpad CP ihp25_clkpad \
117
      CP rh_lib18t_inpad CP ut025crh_inpad CP peregrine_inpad
118
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grfpw_net.vhd 2008/10/01.13:16:50 L.33
119
EN techmap/grfpw_net 1275409313 \
120
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grfpw_net.vhd \
121
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216
122
AR techmap/grfpw_net/rtl 1275409314 \
123
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grfpw_net.vhd \
124
      EN techmap/grfpw_net 1275409313 CP grfpw_unisim CP grfpw_0_stratixii \
125
      CP grfpw_tsmc90
126
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grlfpw_net.vhd 2008/10/01.13:16:50 L.33
127
EN techmap/grlfpw_net 1275409311 \
128
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grlfpw_net.vhd \
129
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216
130
AR techmap/grlfpw_net/rtl 1275409312 \
131
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grlfpw_net.vhd \
132
      EN techmap/grlfpw_net 1275409311 CP grlfpw_2_stratixii \
133
      CP grlfpw_0_axcelerator CP grlfpw_0_unisim
134
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grspwc_net.vhd 2008/10/01.13:16:50 L.33
135
EN techmap/grspwc_net 1275409309 \
136
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grspwc_net.vhd \
137
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
138
AR techmap/grspwc_net/rtl 1275409310 \
139
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grspwc_net.vhd \
140
      EN techmap/grspwc_net 1275409309 CP grspwc_axcelerator CP grspwc_unisim
141
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd 2008/10/01.13:16:50 L.33
142
EN techmap/inpad 1275409342 \
143
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd LB techmap \
144
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
145
      PH techmap/allpads 1275409255
146
AR techmap/inpad/rtl 1275409343 \
147
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd EN techmap/inpad 1275409342 \
148
      CP virtex_inpad CP axcel_inpad CP atc18_inpad CP atc18rha_inpad CP umc_inpad \
149
      CP rhumc_inpad CP ihp25_inpad CP ihp25rh_inpad CP rh_lib18t_inpad \
150
      CP ut025crh_inpad CP peregrine_inpad CP nextreme_inpad
151
EN techmap/inpadv 1275409344 \
152
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd LB techmap \
153
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216
154
AR techmap/inpadv/rtl 1275409345 \
155
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd EN techmap/inpadv 1275409344 \
156
      CP inpad
157
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad_ds.vhd 2008/10/01.13:16:50 L.33
158
EN techmap/inpad_ds 1275409338 \
159
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad_ds.vhd LB techmap \
160
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
161
      PH techmap/allpads 1275409255
162
AR techmap/inpad_ds/rtl 1275409339 \
163
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad_ds.vhd \
164
      EN techmap/inpad_ds 1275409338 CP virtex_inpad_ds CP virtex4_inpad_ds \
165
      CP axcel_inpad_ds CP rh_lib18t_inpad_ds
166
EN techmap/inpad_dsv 1275409340 \
167
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad_ds.vhd LB techmap \
168
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216
169
AR techmap/inpad_dsv/rtl 1275409341 \
170
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad_ds.vhd \
171
      EN techmap/inpad_dsv 1275409340 CP inpad_ds
172
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd 2008/10/01.13:16:50 L.33
173
EN techmap/iopad 1275409332 \
174
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd LB techmap \
175
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
176
      PH techmap/allpads 1275409255
177
AR techmap/iopad/rtl 1275409333 \
178
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd EN techmap/iopad 1275409332 \
179
      CP virtex_iopad CP axcel_iopad CP atc18_iopad CP atc18rha_iopad CP umc_iopad \
180
      CP rhumc_iopad CP ihp25_iopad CP ihp25rh_iopad CP rh_lib18t_iopad \
181
      CP ut025crh_iopad CP peregrine_iopad CP nextreme_iopad
182
EN techmap/iopadv 1275409334 \
183
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd LB techmap \
184
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216
185
AR techmap/iopadv/rtl 1275409335 \
186
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd EN techmap/iopadv 1275409334 \
187
      CP iopad
188
EN techmap/iopadvv 1275409336 \
189
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd LB techmap \
190
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216
191
AR techmap/iopadvv/rtl 1275409337 \
192
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd EN techmap/iopadvv 1275409336 \
193
      CP iopad
194
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd 2008/10/01.13:16:50 L.33
195
EN techmap/odpad 1275409328 \
196
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd LB techmap \
197
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
198
      PH techmap/allpads 1275409255
199
AR techmap/odpad/rtl 1275409329 \
200
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd EN techmap/odpad 1275409328 \
201
      CP virtex_toutpad CP axcel_toutpad CP atc18_toutpad CP atc18rha_toutpad \
202
      CP umc_toutpad CP rhumc_toutpad CP ihp25_toutpad CP rh_lib18t_iopad \
203
      CP ut025crh_iopad CP peregrine_iopad CP nextreme_toutpad
204
EN techmap/odpadv 1275409330 \
205
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd LB techmap \
206
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216
207
AR techmap/odpadv/rtl 1275409331 \
208
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd EN techmap/odpadv 1275409330 \
209
      CP odpad
210
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd 2008/10/01.13:16:50 L.33
211
EN techmap/outpad 1275409324 \
212
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd LB techmap \
213
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
214
      PH techmap/allpads 1275409255
215
AR techmap/outpad/rtl 1275409325 \
216
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd EN techmap/outpad 1275409324 \
217
      CP virtex_outpad CP axcel_outpad CP atc18_outpad CP atc18rha_outpad \
218
      CP umc_outpad CP rhumc_outpad CP ihp25_outpad CP ihp25rh_outpad \
219
      CP rh_lib18t_iopad CP ut025crh_outpad CP peregrine_toutpad \
220
      CP nextreme_toutpad
221
EN techmap/outpadv 1275409326 \
222
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd LB techmap \
223
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216
224
AR techmap/outpadv/rtl 1275409327 \
225
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd EN techmap/outpadv 1275409326 \
226
      CP outpad
227
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad_ds.vhd 2008/10/01.13:16:50 L.33
228
EN techmap/outpad_ds 1275409320 \
229
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad_ds.vhd \
230
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216 \
231
      PH techmap/allpads 1275409255
232
AR techmap/outpad_ds/rtl 1275409321 \
233
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad_ds.vhd \
234
      EN techmap/outpad_ds 1275409320 CP virtex_outpad_ds CP virtex5_outpad_ds \
235
      CP axcel_outpad_ds CP rh_lib18t_outpad_ds
236
EN techmap/outpad_dsv 1275409322 \
237
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad_ds.vhd \
238
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
239
AR techmap/outpad_dsv/rtl 1275409323 \
240
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad_ds.vhd \
241
      EN techmap/outpad_dsv 1275409322 CP outpad_ds
242
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/regfile_3p.vhd 2008/10/01.13:16:50 L.33
243
EN techmap/regfile_3p 1275409307 \
244
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/regfile_3p.vhd LB techmap \
245
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
246
      PH techmap/allmem 1275409227
247
AR techmap/regfile_3p/rtl 1275409308 \
248
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/regfile_3p.vhd \
249
      EN techmap/regfile_3p 1275409307 CP generic_regfile_3p \
250
      CP peregrine_regfile_3p CP syncram_2p
251
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd 2008/10/01.13:16:50 L.33
252
EN techmap/syncram 1275409301 \
253
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd \
254
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
255
      PH techmap/allmem 1275409227
256
AR techmap/syncram/rtl 1275409302 \
257
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd \
258
      EN techmap/syncram 1275409301 CP generic_syncram CP virtex_syncram \
259
      CP virtex2_syncram CP virage_syncram CP atc18rha_syncram CP axcel_syncram \
260
      CP proasic_syncram CP umc_syncram CP rhumc_syncram CP proasic3_syncram \
261
      CP ihp25_syncram CP ihp25rh_syncram CP altera_syncram CP rh_lib18t_syncram \
262
      CP ec_syncram CP ut025crh_syncram CP peregrine_syncram CP artisan_syncram \
263
      CP custom1_syncram CP eclipse_syncram_2p CP virage90_syncram \
264
      CP nextreme_syncram
265
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram64.vhd 2008/10/01.13:16:50 L.33
266
EN techmap/syncram64 1275409303 \
267
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram64.vhd LB techmap \
268
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216
269
AR techmap/syncram64/rtl 1275409304 \
270
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram64.vhd \
271
      EN techmap/syncram64 1275409303 CP virtex2_syncram64 CP artisan_syncram64 \
272
      CP custom1_syncram64 CP syncram
273
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_2p.vhd 2008/10/01.13:16:50 L.33
274
EN techmap/syncram_2p 1275409299 \
275
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_2p.vhd LB techmap \
276
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
277
      PH techmap/allmem 1275409227
278
AR techmap/syncram_2p/rtl 1275409300 \
279
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_2p.vhd \
280
      EN techmap/syncram_2p 1275409299 CP generic_syncram_2p CP virtex_syncram_dp \
281
      CP virtex2_syncram_2p CP virage_syncram_2p CP virage_syncram_dp \
282
      CP atc18rha_syncram_2p CP axcel_syncram_2p CP proasic_syncram_2p \
283
      CP proasic3_syncram_2p CP altera_syncram_dp CP rh_lib18t_syncram_2p \
284
      CP ec_syncram_dp CP ut025crh_syncram_2p CP artisan_syncram_2p \
285
      CP custom1_syncram_2p CP eclipse_syncram_2p CP virage90_syncram_dp \
286
      CP nextreme_syncram_2p
287
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_dp.vhd 2008/10/01.13:16:50 L.33
288
EN techmap/syncram_dp 1275409305 \
289
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_dp.vhd LB techmap \
290
      PB ieee/std_logic_1164 1236108447 PH techmap/gencomp 1275409216 \
291
      PH techmap/allmem 1275409227
292
AR techmap/syncram_dp/rtl 1275409306 \
293
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_dp.vhd \
294
      EN techmap/syncram_dp 1275409305 CP virtex_syncram_dp CP virtex2_syncram_dp \
295
      CP virage_syncram_dp CP artisan_syncram_dp CP axcel_syncram_2p \
296
      CP proasic3_syncram_dp CP altera_syncram_dp CP ec_syncram_dp \
297
      CP virage90_syncram_dp CP atc18rha_syncram_dp
298
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/tap.vhd 2008/10/01.13:16:50 L.33
299
EN techmap/tap 1275409318 FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/tap.vhd \
300
      PB ieee/std_logic_1164 1236108447 LB techmap LB grlib PH techmap/gencomp 1275409216 \
301
      PH techmap/alltap 1275409282 PB grlib/stdlib 1275409206
302
AR techmap/tap/rtl 1275409319 \
303
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/tap.vhd EN techmap/tap 1275409318 \
304
      CP virtex_tap CP virtex2_tap CP virtex4_tap CP virtex5_tap CP spartan3_tap \
305
      CP altera_tap CP proasic3_tap CP techbuf CP tap_gen
306
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/techbuf.vhd 2008/10/01.13:16:50 L.33
307
EN techmap/techbuf 1275409293 \
308
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/techbuf.vhd \
309
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
310
AR techmap/techbuf/rtl 1275409294 \
311
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/techbuf.vhd \
312
      EN techmap/techbuf 1275409293 CP clkbuf_apa3 CP clkbuf_actel CP clkbuf_xilinx \
313
      CP clkbuf_ut025crh
314
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/buffer_unisim.vhd 2008/10/01.13:16:50 L.33
315
EN techmap/clkbuf_xilinx 1275409223 \
316
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/buffer_unisim.vhd \
317
      PB ieee/std_logic_1164 1236108447
318
AR techmap/clkbuf_xilinx/rtl 1275409224 \
319
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/buffer_unisim.vhd \
320
      EN techmap/clkbuf_xilinx 1275409223 CP BUFGMUX CP BUFG
321
EN techmap/clkmux_xilinx 1275409225 \
322
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/buffer_unisim.vhd \
323
      PB ieee/std_logic_1164 1236108447
324
AR techmap/clkmux_xilinx/rtl 1275409226 \
325
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/buffer_unisim.vhd \
326
      EN techmap/clkmux_xilinx 1275409225 CP BUFGMUX
327
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd 2008/10/01.13:16:50 L.33
328
EN techmap/clkgen_virtex2 1275409241 \
329
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
330
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
331
AR techmap/clkgen_virtex2/struct 1275409242 \
332
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
333
      EN techmap/clkgen_virtex2 1275409241 CP BUFGDLL CP BUFG CP BUFGMUX CP DCM
334
EN techmap/clkgen_virtex 1275409243 \
335
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
336
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
337
AR techmap/clkgen_virtex/rtl 1275409244 \
338
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
339
      EN techmap/clkgen_virtex 1275409243 CP BUFGDLL CP BUFG CP CLKDLL
340
EN techmap/clkmul_virtex2 1275409245 \
341
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
342
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
343
AR techmap/clkmul_virtex2/struct 1275409246 \
344
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
345
      EN techmap/clkmul_virtex2 1275409245 CP BUFG CP DCM
346
EN techmap/clkgen_spartan3 1275409247 \
347
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
348
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
349
AR techmap/clkgen_spartan3/struct 1275409248 \
350
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
351
      EN techmap/clkgen_spartan3 1275409247 CP BUFGDLL CP BUFG CP BUFGMUX CP DCM
352
EN techmap/clkgen_virtex5 1275409249 \
353
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
354
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
355
AR techmap/clkgen_virtex5/struct 1275409250 \
356
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
357
      EN techmap/clkgen_virtex5 1275409249 CP BUFGDLL CP BUFG CP BUFGMUX CP DCM
358
EN techmap/clkand_unisim 1275409251 \
359
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
360
      PB ieee/std_logic_1164 1236108447
361
AR techmap/clkand_unisim/rtl 1275409252 \
362
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
363
      EN techmap/clkand_unisim 1275409251 CP bufgce
364
EN techmap/clkmux_unisim 1275409253 \
365
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
366
      PB ieee/std_logic_1164 1236108447
367
AR techmap/clkmux_unisim/rtl 1275409254 \
368
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd \
369
      EN techmap/clkmux_unisim 1275409253 CP BUFGMUX
370
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grfpw_unisim.vhd 2008/10/01.13:16:50 L.33
371
EN techmap/grfpw_unisim 1275409295 \
372
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grfpw_unisim.vhd \
373
      PB ieee/std_logic_1164 1236108447 LB unisim LB techmap PH techmap/gencomp 1275409216
374
AR techmap/grfpw_unisim/rtl 1275409296 \
375
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grfpw_unisim.vhd \
376
      EN techmap/grfpw_unisim 1275409295 CP grfpw_0_unisim_v2 CP grfpw_0_unisim_v4
377
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grspwc_unisim.vhd 2008/10/01.13:16:50 L.33
378
EN techmap/grspwc_unisim 1275409297 \
379
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grspwc_unisim.vhd \
380
      PB ieee/std_logic_1164 1236108447 LB unisim
381
AR techmap/grspwc_unisim/rtl 1275409298 \
382
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grspwc_unisim.vhd \
383
      EN techmap/grspwc_unisim 1275409297 CP grspwc_unisim_16_16 \
384
      CP grspwc_unisim_rmap_16_16
385
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd 2008/10/01.13:16:50 L.33
386
EN techmap/virtex_syncram 1275409228 \
387
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
388
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
389
AR techmap/virtex_syncram/behav 1275409229 \
390
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
391
      EN techmap/virtex_syncram 1275409228 CP generic_syncram CP RAMB4_S16_S16 \
392
      CP RAMB4_S16 CP RAMB4_S8 CP RAMB4_S4 CP RAMB4_S2 CP RAMB4_S1
393
EN techmap/virtex_syncram_dp 1275409230 \
394
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
395
      PB ieee/std_logic_1164 1236108447
396
AR techmap/virtex_syncram_dp/behav 1275409231 \
397
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
398
      EN techmap/virtex_syncram_dp 1275409230 CP RAMB4_S16_S16 CP RAMB4_S8_S8 \
399
      CP RAMB4_S4_S4 CP RAMB4_S2_S2 CP RAMB4_S1_S1
400
EN techmap/virtex2_syncram 1275409232 \
401
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
402
      PB ieee/std_logic_1164 1236108447
403
AR techmap/virtex2_syncram/behav 1275409233 \
404
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
405
      EN techmap/virtex2_syncram 1275409232 CP generic_syncram CP RAMB16_S36_S36 \
406
      CP RAMB16_S36 CP RAMB16_S18 CP RAMB16_S9 CP RAMB16_S4 CP RAMB16_S2 CP RAMB16_S1
407
EN techmap/virtex2_syncram_dp 1275409234 \
408
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
409
      PB ieee/std_logic_1164 1236108447
410
AR techmap/virtex2_syncram_dp/behav 1275409235 \
411
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
412
      EN techmap/virtex2_syncram_dp 1275409234 CP RAMB16_S36_S36 CP RAMB16_S18_S18 \
413
      CP RAMB16_S9_S9 CP RAMB16_S4_S4 CP RAMB16_S2_S2 CP RAMB16_S1_S1
414
EN techmap/virtex2_syncram_2p 1275409236 \
415
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
416
      PB ieee/std_logic_1164 1236108447
417
AR techmap/virtex2_syncram_2p/behav 1275409237 \
418
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
419
      EN techmap/virtex2_syncram_2p 1275409236 CP generic_syncram_2p \
420
      CP virtex2_syncram_dp
421
EN techmap/virtex2_syncram64 1275409238 \
422
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
423
      PB ieee/std_logic_1164 1236108447
424
AR techmap/virtex2_syncram64/behav 1275409239 \
425
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd \
426
      EN techmap/virtex2_syncram64 1275409238 CP RAMB16_S36_S36 CP virtex2_syncram
427
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd 2008/10/01.13:16:50 L.33
428
EN techmap/virtex_inpad 1275409256 \
429
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
430
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
431
AR techmap/virtex_inpad/rtl 1275409257 \
432
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
433
      EN techmap/virtex_inpad 1275409256 CP IBUF
434
EN techmap/virtex_iopad 1275409258 \
435
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
436
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
437
AR techmap/virtex_iopad/rtl 1275409259 \
438
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
439
      EN techmap/virtex_iopad 1275409258 CP IOBUF
440
EN techmap/virtex_outpad 1275409260 \
441
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
442
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
443
AR techmap/virtex_outpad/rtl 1275409261 \
444
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
445
      EN techmap/virtex_outpad 1275409260 CP OBUF
446
EN techmap/virtex_toutpad 1275409262 \
447
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
448
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
449
AR techmap/virtex_toutpad/rtl 1275409263 \
450
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
451
      EN techmap/virtex_toutpad 1275409262 CP OBUFT
452
EN techmap/virtex_skew_outpad 1275409264 \
453
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
454
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
455
AR techmap/virtex_skew_outpad/rtl 1275409265 \
456
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
457
      EN techmap/virtex_skew_outpad 1275409264 CP DCM CP BUFG CP OBUF
458
EN techmap/virtex_clkpad 1275409266 \
459
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
460
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
461
AR techmap/virtex_clkpad/rtl 1275409267 \
462
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
463
      EN techmap/virtex_clkpad 1275409266 CP IBUFG CP IBUF CP BUFGMUX CP BUFG \
464
      CP CLKDLL CP CLKDLLHF
465
EN techmap/virtex_outpad_ds 1275409268 \
466
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
467
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
468
AR techmap/virtex_outpad_ds/rtl 1275409269 \
469
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
470
      EN techmap/virtex_outpad_ds 1275409268 CP OBUFDS_LVDS_33 CP OBUFDS_LVDS_25
471
EN techmap/virtex_inpad_ds 1275409270 \
472
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
473
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
474
AR techmap/virtex_inpad_ds/rtl 1275409271 \
475
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
476
      EN techmap/virtex_inpad_ds 1275409270 CP IBUFDS_LVDS_33 CP IBUFDS_LVDS_25
477
EN techmap/virtex_clkpad_ds 1275409272 \
478
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
479
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
480
AR techmap/virtex_clkpad_ds/rtl 1275409273 \
481
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
482
      EN techmap/virtex_clkpad_ds 1275409272 CP IBUFGDS_LVDS_33 CP IBUFGDS_LVDS_25
483
EN techmap/virtex4_inpad_ds 1275409274 \
484
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
485
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
486
AR techmap/virtex4_inpad_ds/rtl 1275409275 \
487
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
488
      EN techmap/virtex4_inpad_ds 1275409274 CP IBUFDS
489
EN techmap/virtex4_clkpad_ds 1275409276 \
490
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
491
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
492
AR techmap/virtex4_clkpad_ds/rtl 1275409277 \
493
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
494
      EN techmap/virtex4_clkpad_ds 1275409276 CP IBUFGDS
495
EN techmap/virtex5_iopad_ds 1275409278 \
496
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
497
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
498
AR techmap/virtex5_iopad_ds/rtl 1275409279 \
499
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
500
      EN techmap/virtex5_iopad_ds 1275409278 CP IOBUFDS
501
EN techmap/virtex5_outpad_ds 1275409280 \
502
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
503
      PB ieee/std_logic_1164 1236108447 LB techmap PH techmap/gencomp 1275409216
504
AR techmap/virtex5_outpad_ds/rtl 1275409281 \
505
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd \
506
      EN techmap/virtex5_outpad_ds 1275409280 CP OBUFDS
507
FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd 2008/10/01.13:16:50 L.33
508
EN techmap/virtex_tap 1275409283 \
509
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
510
      PB ieee/std_logic_1164 1236108447
511
AR techmap/virtex_tap/rtl 1275409284 \
512
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
513
      EN techmap/virtex_tap 1275409283 CP BSCAN_VIRTEX
514
EN techmap/virtex2_tap 1275409285 \
515
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
516
      PB ieee/std_logic_1164 1236108447
517
AR techmap/virtex2_tap/rtl 1275409286 \
518
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
519
      EN techmap/virtex2_tap 1275409285 CP BSCAN_VIRTEX2
520
EN techmap/spartan3_tap 1275409287 \
521
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
522
      PB ieee/std_logic_1164 1236108447
523
AR techmap/spartan3_tap/rtl 1275409288 \
524
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
525
      EN techmap/spartan3_tap 1275409287 CP BSCAN_SPARTAN3
526
EN techmap/virtex4_tap 1275409289 \
527
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
528
      PB ieee/std_logic_1164 1236108447
529
AR techmap/virtex4_tap/rtl 1275409290 \
530
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
531
      EN techmap/virtex4_tap 1275409289 CP BSCAN_VIRTEX4
532
EN techmap/virtex5_tap 1275409291 \
533
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
534
      PB ieee/std_logic_1164 1236108447
535
AR techmap/virtex5_tap/rtl 1275409292 \
536
      FL C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd \
537
      EN techmap/virtex5_tap 1275409291 CP BSCAN_VIRTEX5

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.