OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-jopdesign-ep1c12/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib;
24
use grlib.amba.all;
25
use grlib.stdlib.all;
26
library techmap;
27
use techmap.gencomp.all;
28
library gaisler;
29
use gaisler.memctrl.all;
30
use gaisler.leon3.all;
31
use gaisler.uart.all;
32
use gaisler.misc.all;
33
use gaisler.can.all;
34
use gaisler.pci.all;
35
use gaisler.net.all;
36
use gaisler.jtag.all;
37
use gaisler.spacewire.all;
38
library esa;
39
use esa.memoryctrl.all;
40
use esa.pcicomp.all;
41
use work.config.all;
42
 
43
entity leon3mp is
44
  generic (
45
    fabtech   : integer := CFG_FABTECH;
46
    memtech   : integer := CFG_MEMTECH;
47
    padtech   : integer := CFG_PADTECH;
48
    clktech   : integer := CFG_CLKTECH;
49
    ncpu      : integer := CFG_NCPU;
50
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
51
    dbguart   : integer := CFG_DUART;   -- Print UART on console
52
    pclow     : integer := CFG_PCLOW
53
  );
54
  port (
55
    resetn      : in  std_logic;
56
    clk         : in  std_logic;
57
    pllref      : in  std_logic;
58
    errorn      : out std_logic;
59
    address     : out std_logic_vector(27 downto 0);
60
    data        : inout std_logic_vector(31 downto 0);
61
    sa          : out std_logic_vector(14 downto 0);
62
    sd          : inout std_logic_vector(63 downto 0);
63
    sdclk       : out std_logic;
64
    sdcke       : out std_logic_vector (1 downto 0);    -- sdram clock enable
65
    sdcsn       : out std_logic_vector (1 downto 0);    -- sdram chip select
66
    sdwen       : out std_logic;                       -- sdram write enable
67
    sdrasn      : out std_logic;                       -- sdram ras
68
    sdcasn      : out std_logic;                       -- sdram cas
69
    sddqm       : out std_logic_vector (7 downto 0);    -- sdram dqm
70
    dsutx       : out std_logic;                        -- DSU tx data
71
    dsurx       : in  std_logic;                        -- DSU rx data
72
    dsuen       : in std_logic;
73
    dsubre      : in std_logic;
74
    dsuact      : out std_logic;
75
    txd1        : out std_logic;                        -- UART1 tx data
76
    rxd1        : in  std_logic;                        -- UART1 rx data
77
    txd2        : out std_logic;                        -- UART2 tx data
78
    rxd2        : in  std_logic;                        -- UART2 rx data
79
    ramsn       : out std_logic_vector (4 downto 0);
80
    ramoen      : out std_logic_vector (4 downto 0);
81
    rwen        : out std_logic_vector (3 downto 0);
82
    oen         : out std_logic;
83
    writen      : out std_logic;
84
    read        : out std_logic;
85
    iosn        : out std_logic;
86
    romsn       : out std_logic_vector (1 downto 0);
87
    gpio        : inout std_logic_vector(7 downto 0);    -- I/O port
88
 
89
    emdio       : inout std_logic;              -- ethernet PHY interface
90
    etx_clk     : in std_logic;
91
    erx_clk     : in std_logic;
92
    erxd        : in std_logic_vector(3 downto 0);
93
    erx_dv      : in std_logic;
94
    erx_er      : in std_logic;
95
    erx_col     : in std_logic;
96
    erx_crs     : in std_logic;
97
    etxd        : out std_logic_vector(3 downto 0);
98
    etx_en      : out std_logic;
99
    etx_er      : out std_logic;
100
    emdc        : out std_logic;
101
 
102
    emddis      : out std_logic;
103
    epwrdwn     : out std_logic;
104
    ereset      : out std_logic;
105
    esleep      : out std_logic;
106
    epause      : out std_logic;
107
 
108
    pci_rst     : inout std_logic;              -- PCI bus
109
    pci_clk     : in std_logic;
110
    pci_gnt     : in std_logic;
111
    pci_idsel   : in std_logic;
112
    pci_lock    : inout std_logic;
113
    pci_ad      : inout std_logic_vector(31 downto 0);
114
    pci_cbe     : inout std_logic_vector(3 downto 0);
115
    pci_frame   : inout std_logic;
116
    pci_irdy    : inout std_logic;
117
    pci_trdy    : inout std_logic;
118
    pci_devsel  : inout std_logic;
119
    pci_stop    : inout std_logic;
120
    pci_perr    : inout std_logic;
121
    pci_par     : inout std_logic;
122
    pci_req     : inout std_logic;
123
    pci_serr    : inout std_logic;
124
    pci_host    : in std_logic;
125
    pci_66      : in std_logic;
126
    pci_arb_req : in  std_logic_vector(0 to 3);
127
    pci_arb_gnt : out std_logic_vector(0 to 3);
128
 
129
    can_txd     : out std_logic;
130
    can_rxd     : in  std_logic;
131
    can_stb     : out std_logic;
132
 
133
    spw_clk     : in  std_logic;
134
    spw_rxd     : in  std_logic_vector(0 to 2);
135
    spw_rxdn    : in  std_logic_vector(0 to 2);
136
    spw_rxs     : in  std_logic_vector(0 to 2);
137
    spw_rxsn    : in  std_logic_vector(0 to 2);
138
    spw_txd     : out std_logic_vector(0 to 2);
139
    spw_txdn    : out std_logic_vector(0 to 2);
140
    spw_txs     : out std_logic_vector(0 to 2);
141
    spw_txsn    : out std_logic_vector(0 to 2)
142
 
143
        );
144
end;
145
 
146
architecture rtl of leon3mp is
147
 
148
constant blength : integer := 12;
149
constant fifodepth : integer := 8;
150
 
151
constant maxahbmsp : integer := NCPU+CFG_AHB_UART+
152
        CFG_GRETH+CFG_AHB_JTAG+log2x(CFG_PCI);
153
constant maxahbm : integer := (CFG_SPW_NUM*CFG_SPW_EN) + maxahbmsp;
154
 
155
signal vcc, gnd : std_logic_vector(4 downto 0);
156
signal memi  : memory_in_type;
157
signal memo  : memory_out_type;
158
signal wpo   : wprot_out_type;
159
signal sdi   : sdctrl_in_type;
160
signal sdo   : sdram_out_type;
161
signal sdo2, sdo3 : sdctrl_out_type;
162
 
163
signal apbi  : apb_slv_in_type;
164
signal apbo  : apb_slv_out_vector := (others => apb_none);
165
signal ahbsi : ahb_slv_in_type;
166
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
167
signal ahbmi : ahb_mst_in_type;
168
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
169
 
170
signal clkm, rstn, rstraw, pciclk, sdclkl, spw_lclk : std_logic;
171
signal cgi   : clkgen_in_type;
172
signal cgo   : clkgen_out_type;
173
signal u1i, u2i, dui : uart_in_type;
174
signal u1o, u2o, duo : uart_out_type;
175
 
176
signal irqi : irq_in_vector(0 to NCPU-1);
177
signal irqo : irq_out_vector(0 to NCPU-1);
178
 
179
signal dbgi : l3_debug_in_vector(0 to NCPU-1);
180
signal dbgo : l3_debug_out_vector(0 to NCPU-1);
181
 
182
signal dsui : dsu_in_type;
183
signal dsuo : dsu_out_type;
184
 
185
signal pcii : pci_in_type;
186
signal pcio : pci_out_type;
187
 
188
signal ethi, ethi1, ethi2 : eth_in_type;
189
signal etho, etho1, etho2 : eth_out_type;
190
 
191
signal gpti : gptimer_in_type;
192
 
193
signal gpioi : gpio_in_type;
194
signal gpioo : gpio_out_type;
195
 
196
signal can_lrx, can_ltx   : std_logic;
197
signal lclk, pci_lclk : std_logic;
198
signal pci_arb_req_n, pci_arb_gnt_n   : std_logic_vector(0 to 3);
199
 
200
signal tck, tms, tdi, tdo : std_logic;
201
 
202
signal spwi : grspw_in_type_vector(0 to 2);
203
signal spwo : grspw_out_type_vector(0 to 2);
204
 
205
constant BOARD_FREQ : integer := 20000; -- Board frequency in KHz
206
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;
207
constant IOAEN : integer := CFG_SDCTRL + CFG_CAN;
208
constant CFG_SDEN : integer := CFG_SDCTRL + CFG_MCTRL_SDEN ;
209
constant CFG_INVCLK : integer := CFG_SDCTRL_INVCLK + CFG_MCTRL_INVCLK;
210
 
211
constant sysfreq : integer := (CFG_CLKMUL/CFG_CLKDIV)*20000;
212
begin
213
 
214
----------------------------------------------------------------------
215
---  Reset and Clock generation  -------------------------------------
216
----------------------------------------------------------------------
217
 
218
  vcc <= (others => '1'); gnd <= (others => '0');
219
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; cgi.pllref <= '0';
220
 
221
  clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
222
  pci_clk_pad : clkpad generic map (tech => padtech, level => pci33)
223
            port map (pci_clk, pci_lclk);
224
  clkgen0 : clkgen              -- clock generator
225
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_SDEN,
226
        CFG_CLK_NOFB, CFG_PCI, CFG_PCIDLL, CFG_PCISYSCLK)
227
    port map (lclk, pci_lclk, clkm, open, open, sdclkl, pciclk, cgi, cgo);
228
  sdclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24)
229
        port map (sdclk, sdclkl);
230
 
231
  rst0 : rstgen                 -- reset generator
232
  port map (resetn, clkm, cgo.clklock, rstn, rstraw);
233
 
234
----------------------------------------------------------------------
235
---  AHB CONTROLLER --------------------------------------------------
236
----------------------------------------------------------------------
237
 
238
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
239
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
240
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
241
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
242
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
243
 
244
----------------------------------------------------------------------
245
---  LEON3 processor and DSU -----------------------------------------
246
----------------------------------------------------------------------
247
 
248
  l3 : if CFG_LEON3 = 1 generate
249
    cpu : for i in 0 to NCPU-1 generate
250
      u0 : leon3s                       -- LEON3 processor      
251
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
252
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
253
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
254
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
255
          CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
256
          CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1)
257
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
258
                irqi(i), irqo(i), dbgi(i), dbgo(i));
259
    end generate;
260
    errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
261
 
262
    dsugen : if CFG_DSU = 1 generate
263
      dsu0 : dsu3                       -- LEON3 Debug Support Unit
264
      generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
265
         ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
266
      port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
267
      dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
268
      dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
269
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
270
    end generate;
271
  end generate;
272
  nodsu : if CFG_DSU = 0 generate
273
    ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
274
  end generate;
275
 
276
  dcomgen : if CFG_AHB_UART = 1 generate
277
    dcom0: ahbuart              -- Debug UART
278
    generic map (hindex => NCPU, pindex => 7, paddr => 7)
279
    port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(NCPU));
280
    dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd);
281
    dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
282
  end generate;
283
  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
284
 
285
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
286
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
287
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
288
               open, open, open, open, open, open, open, gnd(0));
289
  end generate;
290
 
291
----------------------------------------------------------------------
292
---  Memory controllers ----------------------------------------------
293
----------------------------------------------------------------------
294
 
295
  src : if CFG_SRCTRL = 1 generate      -- 32-bit PROM/SRAM controller
296
    sr0 : srctrl generic map (hindex => 0, ramws => CFG_SRCTRL_RAMWS,
297
        romws => CFG_SRCTRL_PROMWS, ramaddr => 16#400#,
298
        prom8en => CFG_SRCTRL_8BIT, rmw => CFG_SRCTRL_RMW)
299
    port map (rstn, clkm, ahbsi, ahbso(0), memi, memo, sdo3);
300
    apbo(0) <= apb_none;
301
  end generate;
302
 
303
  sdc : if CFG_SDCTRL = 1 generate
304
      sdc : sdctrl generic map (hindex => 3, haddr => 16#600#, hmask => 16#F00#,
305
        ioaddr => 1, fast => 0, pwron => 0, invclk => CFG_SDCTRL_INVCLK,
306
        sdbits => 32 + 32*CFG_SDCTRL_SD64)
307
      port map (rstn, clkm, ahbsi, ahbso(3), sdi, sdo2);
308
      sa_pad : outpadv generic map (width => 15, tech => padtech)
309
           port map (sa, sdo2.address);
310
      sd_pad : iopadv generic map (width => 32, tech => padtech)
311
           port map (sd(31 downto 0), sdo2.data, sdo2.bdrive, sdi.data(31 downto 0));
312
      sd2 : if CFG_SDCTRL_SD64 = 1 generate
313
        sd_pad2 : iopadv generic map (width => 32)
314
             port map (sd(63 downto 32), sdo2.data, sdo2.bdrive, sdi.data(63 downto 32));
315
      end generate;
316
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
317
           port map (sdcke, sdo2.sdcke);
318
      sdwen_pad : outpad generic map (tech => padtech)
319
           port map (sdwen, sdo2.sdwen);
320
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
321
           port map (sdcsn, sdo2.sdcsn);
322
      sdras_pad : outpad generic map (tech => padtech)
323
           port map (sdrasn, sdo2.rasn);
324
      sdcas_pad : outpad generic map (tech => padtech)
325
           port map (sdcasn, sdo2.casn);
326
      sddqm_pad : outpadv generic map (width =>8, tech => padtech)
327
           port map (sddqm, sdo2.dqm);
328
  end generate;
329
 
330
  mg2 : if CFG_MCTRL_LEON2 = 1 generate         -- LEON2 memory controller
331
    sr1 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
332
        srbanks => 2, sden => CFG_MCTRL_SDEN, ram8 => CFG_MCTRL_RAM8BIT,
333
        ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK,
334
        sepbus => CFG_MCTRL_SEPBUS, sdbits => 32 + 32*CFG_MCTRL_SD64)
335
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
336
    sdpads : if CFG_MCTRL_SDEN = 1 generate     -- SDRAM controller
337
      sd2 : if CFG_MCTRL_SEPBUS = 1 generate
338
        sa_pad : outpadv generic map (width => 15) port map (sa, memo.sa);
339
        bdr : for i in 0 to 3 generate
340
          sd_pad : iopadv generic map (tech => padtech, width => 8)
341
          port map (sd(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
342
                memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
343
          sd2 : if CFG_MCTRL_SD64 = 1 generate
344
            sd_pad2 : iopadv generic map (tech => padtech, width => 8)
345
            port map (sd(31-i*8+32 downto 24-i*8+32), memo.data(31-i*8 downto 24-i*8),
346
                memo.bdrive(i), memi.sd(31-i*8+32 downto 24-i*8+32));
347
          end generate;
348
        end generate;
349
      end generate;
350
      sdwen_pad : outpad generic map (tech => padtech)
351
           port map (sdwen, sdo.sdwen);
352
      sdras_pad : outpad generic map (tech => padtech)
353
           port map (sdrasn, sdo.rasn);
354
      sdcas_pad : outpad generic map (tech => padtech)
355
           port map (sdcasn, sdo.casn);
356
      sddqm_pad : outpadv generic map (width =>8, tech => padtech)
357
           port map (sddqm, sdo.dqm);
358
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
359
           port map (sdcke, sdo.sdcke);
360
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
361
           port map (sdcsn, sdo.sdcsn);
362
    end generate;
363
  end generate;
364
 
365
  nosd0 : if (CFG_MCTRL_SDEN = 0) and (CFG_SDCTRL = 0) generate           -- no SDRAM controller
366
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
367
           port map (sdcke, sdo3.sdcke);
368
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
369
           port map (sdcsn, sdo3.sdcsn);
370
  end generate;
371
 
372
 
373
  memi.brdyn <= '1'; memi.bexcn <= '1';
374
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
375
 
376
  mgpads : if (CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1) generate        -- prom/sram pads
377
    addr_pad : outpadv generic map (width => 28, tech => padtech)
378
        port map (address, memo.address(27 downto 0));
379
    rams_pad : outpadv generic map (width => 5, tech => padtech)
380
        port map (ramsn, memo.ramsn(4 downto 0));
381
    roms_pad : outpadv generic map (width => 2, tech => padtech)
382
        port map (romsn, memo.romsn(1 downto 0));
383
    oen_pad  : outpad generic map (tech => padtech)
384
        port map (oen, memo.oen);
385
    rwen_pad : outpadv generic map (width => 4, tech => padtech)
386
        port map (rwen, memo.wrn);
387
    roen_pad : outpadv generic map (width => 5, tech => padtech)
388
        port map (ramoen, memo.ramoen(4 downto 0));
389
    wri_pad  : outpad generic map (tech => padtech)
390
        port map (writen, memo.writen);
391
    read_pad : outpad generic map (tech => padtech)
392
        port map (read, memo.read);
393
    iosn_pad : outpad generic map (tech => padtech)
394
        port map (iosn, memo.iosn);
395
    bdr : for i in 0 to 3 generate
396
      data_pad : iopadv generic map (tech => padtech, width => 8)
397
      port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
398
        memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
399
    end generate;
400
  end generate;
401
 
402
----------------------------------------------------------------------
403
---  APB Bridge and various periherals -------------------------------
404
----------------------------------------------------------------------
405
 
406
  bpromgen : if CFG_AHBROMEN /= 0 generate
407
    brom : entity work.ahbrom
408
      generic map (hindex => 8, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
409
      port map ( rstn, clkm, ahbsi, ahbso(8));
410
  end generate;
411
  nobpromgen : if CFG_AHBROMEN = 0 generate
412
     ahbso(8) <= ahbs_none;
413
  end generate;
414
 
415
----------------------------------------------------------------------
416
---  APB Bridge and various periherals -------------------------------
417
----------------------------------------------------------------------
418
 
419
  apb0 : apbctrl                                -- AHB/APB bridge
420
  generic map (hindex => 1, haddr => CFG_APBADDR)
421
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
422
 
423
  ua1 : if CFG_UART1_ENABLE /= 0 generate
424
    uart1 : apbuart                     -- UART 1
425
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
426
        fifosize => CFG_UART1_FIFO)
427
    port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
428
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
429
  end generate;
430
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
431
 
432
  ua2 : if CFG_UART2_ENABLE /= 0 generate
433
    uart2 : apbuart                     -- UART 2
434
    generic map (pindex => 9, paddr => 9,  pirq => 3, fifosize => CFG_UART2_FIFO)
435
    port map (rstn, clkm, apbi, apbo(9), u2i, u2o);
436
    u2i.rxd <= rxd2; u2i.ctsn <= '0'; u2i.extclk <= '0'; txd2 <= u2o.txd;
437
  end generate;
438
  noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
439
 
440
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
441
    irqctrl0 : irqmp                    -- interrupt controller
442
    generic map (pindex => 2, paddr => 2, ncpu => NCPU)
443
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
444
  end generate;
445
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
446
    x : for i in 0 to NCPU-1 generate
447
      irqi(i).irl <= "0000";
448
    end generate;
449
    apbo(2) <= apb_none;
450
  end generate;
451
 
452
  gpt : if CFG_GPT_ENABLE /= 0 generate
453
    timer0 : gptimer                    -- timer unit
454
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
455
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
456
        nbits => CFG_GPT_TW)
457
    port map (rstn, clkm, apbi, apbo(3), gpti, open);
458
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
459
  end generate;
460
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
461
 
462
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
463
    grgpio0: grgpio
464
      generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 8)
465
      port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
466
 
467
      pio_pads : for i in 0 to 7 generate
468
        pio_pad : iopad generic map (tech => padtech)
469
            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
470
      end generate;
471
   end generate;
472
 
473
-----------------------------------------------------------------------
474
---  PCI   ------------------------------------------------------------
475
-----------------------------------------------------------------------
476
 
477
  pp : if CFG_PCI /= 0 generate
478
 
479
    pci_gr0 : if CFG_PCI = 1 generate   -- simple target-only
480
      pci0 : pci_target generic map (hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
481
        device_id => CFG_PCIDID, vendor_id => CFG_PCIVID)
482
      port map (rstn, clkm, pciclk, pcii, pcio, ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG));
483
    end generate;
484
 
485
    pci_mtf0 : if CFG_PCI = 2 generate  -- master/target with fifo
486
      pci0 : pci_mtf generic map (memtech => memtech, hmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
487
          fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
488
          hslvndx => 4, pindex => 4, paddr => 4, haddr => 16#E00#,
489
          ioaddr => 16#400#, nsync => 2, hostrst => 1)
490
      port map (rstn, clkm, pciclk, pcii, pcio, apbi, apbo(4),
491
        ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
492
    end generate;
493
 
494
    pci_mtf1 : if CFG_PCI = 3 generate  -- master/target with fifo and DMA
495
      dma : pcidma generic map (memtech => memtech, dmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1,
496
          dapbndx => 5, dapbaddr => 5, blength => blength, mstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
497
          fifodepth => log2(fifodepth), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
498
          slvndx => 4, apbndx => 4, apbaddr => 4, haddr => 16#E00#, ioaddr => 16#800#,
499
          nsync => 2, hostrst => 1)
500
        port map (rstn, clkm, pciclk, pcii, pcio, apbo(5),  ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1),
501
          apbi, apbo(4), ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
502
    end generate;
503
 
504
    pci_trc0 : if CFG_PCITBUFEN /= 0 generate    -- PCI trace buffer
505
      pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)),
506
        memtech => memtech, pindex  => 8, paddr => 16#100#, pmask => 16#f00#)
507
        port map ( rstn, clkm, pciclk, pcii, apbi, apbo(8));
508
    end generate;
509
 
510
    pcia0 : if CFG_PCI_ARB = 1 generate -- PCI arbiter
511
      pciarb0 : pciarb generic map (pindex => 10, paddr => 10,
512
                                    apb_en => CFG_PCI_ARBAPB)
513
       port map ( clk => pciclk, rst_n => pcii.rst,
514
         req_n => pci_arb_req_n, frame_n => pcii.frame,
515
         gnt_n => pci_arb_gnt_n, pclk => clkm,
516
         prst_n => rstn, apbi => apbi, apbo => apbo(10)
517
       );
518
      pgnt_pad : outpadv generic map (tech => padtech, width => 4)
519
        port map (pci_arb_gnt, pci_arb_gnt_n);
520
      preq_pad : inpadv generic map (tech => padtech, width => 4)
521
        port map (pci_arb_req, pci_arb_req_n);
522
    end generate;
523
 
524
    pcipads0 : pcipads generic map (padtech => padtech) -- PCI pads
525
    port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe,
526
      pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr,
527
      pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio );
528
 
529
  end generate;
530
 
531
  nop1 : if CFG_PCI <= 1 generate apbo(4) <= apb_none; end generate;
532
  nop2 : if CFG_PCI <= 2 generate apbo(5) <= apb_none; end generate;
533
  nop3 : if CFG_PCI <= 1 generate ahbso(4) <= ahbs_none; end generate;
534
  notrc : if CFG_PCITBUFEN = 0 generate apbo(8) <= apb_none; end generate;
535
  noarb : if CFG_PCI_ARB = 0 generate apbo(10) <= apb_none; end generate;
536
 
537
 
538
-----------------------------------------------------------------------
539
---  ETHERNET ---------------------------------------------------------
540
-----------------------------------------------------------------------
541
 
542
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
543
      e1 : greth generic map(hindex => NCPU+CFG_AHB_UART+CFG_PCI+CFG_AHB_JTAG,
544
        pindex => 15, paddr => 15, pirq => 14, memtech => memtech,
545
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
546
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
547
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
548
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
549
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
550
       ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_PCI+CFG_AHB_JTAG), apbi => apbi,
551
       apbo => apbo(15), ethi => ethi, etho => etho);
552
 
553
      emdio_pad : iopad generic map (tech => padtech)
554
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
555
      etxc_pad : clkpad generic map (tech => padtech, arch => 1)
556
        port map (etx_clk, ethi.tx_clk);
557
      erxc_pad : clkpad generic map (tech => padtech, arch => 1)
558
        port map (erx_clk, ethi.rx_clk);
559
      erxd_pad : inpadv generic map (tech => padtech, width => 4)
560
        port map (erxd, ethi.rxd(3 downto 0));
561
      erxdv_pad : inpad generic map (tech => padtech)
562
        port map (erx_dv, ethi.rx_dv);
563
      erxer_pad : inpad generic map (tech => padtech)
564
        port map (erx_er, ethi.rx_er);
565
      erxco_pad : inpad generic map (tech => padtech)
566
        port map (erx_col, ethi.rx_col);
567
      erxcr_pad : inpad generic map (tech => padtech)
568
        port map (erx_crs, ethi.rx_crs);
569
 
570
      etxd_pad : outpadv generic map (tech => padtech, width => 4)
571
        port map (etxd, etho.txd(3 downto 0));
572
      etxen_pad : outpad generic map (tech => padtech)
573
        port map ( etx_en, etho.tx_en);
574
      etxer_pad : outpad generic map (tech => padtech)
575
        port map (etx_er, etho.tx_er);
576
      emdc_pad : outpad generic map (tech => padtech)
577
        port map (emdc, etho.mdc);
578
 
579
      emdis_pad : outpad generic map (tech => padtech)
580
        port map (emddis, vcc(0));
581
      eepwrdwn_pad : outpad generic map (tech => padtech)
582
        port map (epwrdwn, gnd(0));
583
      esleep_pad : outpad generic map (tech => padtech)
584
        port map (esleep, gnd(0));
585
      epause_pad : outpad generic map (tech => padtech)
586
        port map (epause, gnd(0));
587
      ereset_pad : outpad generic map (tech => padtech)
588
        port map (ereset, gnd(0));
589
 
590
    end generate;
591
 
592
-----------------------------------------------------------------------
593
---  CAN --------------------------------------------------------------
594
-----------------------------------------------------------------------
595
   can0 : if CFG_CAN = 1 generate
596
     can0 : can_oc generic map (slvndx => 6, ioaddr => CFG_CANIO,
597
        iomask => 16#FFF#, irq => CFG_CANIRQ, memtech => memtech)
598
      port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx );
599
   end generate;
600
   ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
601
 
602
   can_stb <= '0';   -- no standby
603
 
604
   can_loopback : if CFG_CANLOOP = 1 generate
605
     can_lrx <= can_ltx;
606
   end generate;
607
 
608
   can_pads : if CFG_CANLOOP = 0 generate
609
      can_tx_pad : outpad generic map (tech => padtech)
610
        port map (can_txd, can_ltx);
611
      can_rx_pad : inpad generic map (tech => padtech)
612
        port map (can_rxd, can_lrx);
613
    end generate;
614
 
615
-----------------------------------------------------------------------
616
---  AHB RAM ----------------------------------------------------------
617
-----------------------------------------------------------------------
618
 
619
  ocram : if CFG_AHBRAMEN = 1 generate
620
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
621
        tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
622
    port map ( rstn, clkm, ahbsi, ahbso(7));
623
  end generate;
624
  nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
625
 
626
-----------------------------------------------------------------------
627
---  SPACEWIRE  -------------------------------------------------------
628
-----------------------------------------------------------------------
629
 
630
  spw : if CFG_SPW_EN > 0 generate
631
   spw_clk_pad : clkpad generic map (tech => padtech) port map (spw_clk, spw_lclk);
632
   swloop : for i in 0 to CFG_SPW_NUM-1 generate
633
   sw0 : grspwm generic map(tech => memtech,
634
     hindex => maxahbmsp+i, pindex => 12+i, paddr => 12+i, pirq => 10+i,
635
     sysfreq => sysfreq, nsync => 1, rmap => 0,
636
     fifosize1 => CFG_SPW_AHBFIFO, fifosize2 => CFG_SPW_RXFIFO,
637
     rxclkbuftype => 1, ports => 1, dmachan => 1, spwcore => CFG_SPW_GRSPW)
638
     port map(resetn, clkm, spw_lclk, ahbmi, ahbmo(maxahbmsp+i),
639
        apbi, apbo(12+i), spwi(i), spwo(i));
640
     spwi(i).tickin <= '0'; spwi(i).rmapen <= '1';
641
     spwi(i).clkdiv10 <= conv_std_logic_vector(sysfreq/10000-1, 8);
642
     spw_rxd_pad : inpad_ds generic map (padtech, lvds, x25v)
643
        port map (spw_rxd(i), spw_rxdn(i), spwi(i).d(0));
644
     spw_rxs_pad : inpad_ds generic map (padtech, lvds, x25v)
645
        port map (spw_rxs(i), spw_rxsn(i), spwi(i).s(0));
646
     spw_txd_pad : outpad_ds generic map (padtech, lvds, x25v)
647
        port map (spw_txd(i), spw_txdn(i), spwo(i).d(0), gnd(0));
648
     spw_txs_pad : outpad_ds generic map (padtech, lvds, x25v)
649
        port map (spw_txs(i), spw_txsn(i), spwo(i).s(0), gnd(0));
650
   end generate;
651
  end generate;
652
 
653
-----------------------------------------------------------------------
654
---  Drive unused bus elements  ---------------------------------------
655
-----------------------------------------------------------------------
656
 
657
--  nam1 : for i in maxahbm to NAHBMST-1 generate
658
--    ahbmo(i) <= ahbm_none;
659
--  end generate;
660
--  nam2 : if CFG_PCI > 1 generate
661
--    ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_PCI-1) <= ahbm_none;
662
--  end generate;
663
--  nap0 : for i in 12+(CFG_SPW_NUM*CFG_SPW_EN) to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
664
--  apbo(6) <= apb_none;
665
--  nah0 : for i in 9 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
666
 
667
-----------------------------------------------------------------------
668
---  Boot message  ----------------------------------------------------
669
-----------------------------------------------------------------------
670
 
671
-- pragma translate_off
672
  x : report_version
673
  generic map (
674
   msg1 => "LEON3 MP Demonstration design",
675
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
676
        & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
677
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
678
   mdel => 1
679
  );
680
-- pragma translate_on
681
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.