OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-xilinx-xc3sd-1800/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2006 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
library ieee;
21
use ieee.std_logic_1164.all;
22
library grlib;
23
use grlib.amba.all;
24
use grlib.stdlib.all;
25
use grlib.devices.all;
26
library techmap;
27
use techmap.gencomp.all;
28
use techmap.allclkgen.all;
29
library gaisler;
30
use gaisler.memctrl.all;
31
use gaisler.leon3.all;
32
use gaisler.uart.all;
33
use gaisler.misc.all;
34
use gaisler.net.all;
35
use gaisler.jtag.all;
36
library esa;
37
use esa.memoryctrl.all;
38
use work.config.all;
39
 
40
entity leon3mp is
41
  generic (
42
    fabtech  : integer := CFG_FABTECH;
43
    memtech  : integer := CFG_MEMTECH;
44
    padtech  : integer := CFG_PADTECH;
45
    clktech  : integer := CFG_CLKTECH;
46
    disas    : integer := CFG_DISAS;     -- Enable disassembly to console
47
    dbguart  : integer := CFG_DUART;     -- Print UART on console
48
    pclow    : integer := CFG_PCLOW;
49
    memmask  : integer := 0;
50
    ddraddr  : integer := 16#400#;
51
    ddrdelay : integer := 1;
52
    ddrskew  : integer := 130
53
    );
54
  port (
55
    reset     : in    std_ulogic;
56
    reset_o1  : out   std_ulogic;
57
    reset_o2  : out   std_ulogic;
58
    clk_in    : in    std_ulogic;
59
    clk_vga   : in    std_ulogic;
60
    errorn    : out   std_ulogic;
61
 
62
    -- PROM interface
63
    address   : out   std_logic_vector(23 downto 0);
64
    data      : inout std_logic_vector(7 downto 0);
65
    romsn     : out   std_ulogic;
66
    oen       : out   std_ulogic;
67
    writen    : out   std_ulogic;
68
-- pragma translate_off
69
    iosn      : out   std_ulogic;
70
    ramsn     : out   std_ulogic;
71
    ramoen    : out   std_ulogic;
72
    ramwrn    : out   std_ulogic;
73
    testdata  : inout std_logic_vector(23 downto 0);
74
-- pragma translate_on 
75
 
76
    -- DDR2 memory  
77
    ddr_clk        : out   std_logic_vector(1 downto 0);
78
    ddr_clkb       : out   std_logic_vector(1 downto 0);
79
    ddr_clk_fb_out : out   std_logic;
80
    ddr_clk_fb     : in    std_logic;
81
    ddr_cke        : out   std_logic;
82
    ddr_csb        : out   std_logic;
83
    ddr_we         : out   std_ulogic;                     -- write enable
84
    ddr_ras        : out   std_ulogic;                     -- ras
85
    ddr_cas        : out   std_ulogic;                     -- cas
86
    ddr_dm         : out   std_logic_vector(3 downto 0);   -- dm
87
    ddr_dqs        : inout std_logic_vector(3 downto 0);   -- dqs
88
    ddr_dqsn       : inout std_logic_vector(3 downto 0);   -- dqsn
89
    ddr_ad         : out   std_logic_vector(12 downto 0);  -- address
90
    ddr_ba         : out   std_logic_vector(1 downto 0);   -- bank address
91
    ddr_dq         : inout std_logic_vector(31 downto 0);  -- data
92
    ddr_odt        : out   std_logic;
93
 
94
    -- Debug support unit
95
    dsubre    : in    std_ulogic;       -- Debug Unit break (connect to button)
96
 
97
    -- AHB Uart
98
    dsurx     : in    std_ulogic;
99
    dsutx     : out   std_ulogic;
100
 
101
    -- Ethernet signals
102
    etx_clk   : in    std_ulogic;
103
    erx_clk   : in    std_ulogic;
104
    erxd      : in    std_logic_vector(3 downto 0);
105
    erx_dv    : in    std_ulogic;
106
    erx_er    : in    std_ulogic;
107
    erx_col   : in    std_ulogic;
108
    erx_crs   : in    std_ulogic;
109
    etxd      : out   std_logic_vector(3 downto 0);
110
    etx_en    : out   std_ulogic;
111
    etx_er    : out   std_ulogic;
112
    emdc      : out   std_ulogic;
113
    emdio     : inout std_logic;
114
 
115
    -- SVGA
116
    vid_hsync : out   std_logic;
117
    vid_vsync : out   std_logic;
118
    vid_r     : out   std_logic_vector(3 downto 0);
119
    vid_g     : out   std_logic_vector(3 downto 0);
120
    vid_b     : out   std_logic_vector(3 downto 0);
121
 
122
    -- Select signal for SPI flash
123
    spi       : out   std_ulogic;
124
 
125
    -- Output signals to LEDs
126
    led       : out   std_logic_vector(2 downto 0)
127
    );
128
end;
129
 
130
architecture rtl of leon3mp is
131
  signal vcc : std_logic;
132
  signal gnd : std_logic;
133
 
134
  signal memi : memory_in_type;
135
  signal memo : memory_out_type;
136
  signal wpo  : wprot_out_type;
137
 
138
  signal gpioi : gpio_in_type;
139
  signal gpioo : gpio_out_type;
140
 
141
  signal apbi  : apb_slv_in_type;
142
  signal apbo  : apb_slv_out_vector := (others => apb_none);
143
  signal ahbsi : ahb_slv_in_type;
144
  signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
145
  signal ahbmi : ahb_mst_in_type;
146
  signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
147
 
148
  signal cgi : clkgen_in_type;
149
  signal cgo : clkgen_out_type;
150
 
151
  signal u1i, dui : uart_in_type;
152
  signal u1o, duo : uart_out_type;
153
 
154
  signal irqi : irq_in_vector(0 to CFG_NCPU-1);
155
  signal irqo : irq_out_vector(0 to CFG_NCPU-1);
156
 
157
  signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
158
  signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
159
 
160
  signal dsui : dsu_in_type;
161
  signal dsuo : dsu_out_type;
162
 
163
  signal ethi : eth_in_type;
164
  signal etho : eth_out_type;
165
 
166
  signal gpti : gptimer_in_type;
167
 
168
  signal vgao : apbvga_out_type;
169
 
170
  signal lclk               : std_ulogic;
171
  signal lclk_vga           : std_ulogic;
172
  signal clkm, rstn, clkml  : std_ulogic;
173
  signal tck, tms, tdi, tdo : std_ulogic;
174
  signal rstraw             : std_logic;
175
  signal lock               : std_logic;
176
 
177
  -- RS232 APB Uart
178
  signal rxd1 : std_logic;
179
  signal txd1 : std_logic;
180
 
181
 
182
  -- Used for connecting input/output signals to the DDR2 controller
183
  signal core_ddr_clk  : std_logic_vector(2 downto 0);
184
  signal core_ddr_clkb : std_logic_vector(2 downto 0);
185
  signal core_ddr_cke  : std_logic_vector(1 downto 0);
186
  signal core_ddr_csb  : std_logic_vector(1 downto 0);
187
  signal core_ddr_ad   : std_logic_vector(13 downto 0);
188
  signal core_ddr_odt  : std_logic_vector(1 downto 0);
189
 
190
  attribute keep                     : boolean;
191
  attribute syn_keep                 : boolean;
192
  attribute syn_preserve             : boolean;
193
  attribute syn_keep of lock         : signal is true;
194
  attribute syn_keep of clkml        : signal is true;
195
  attribute syn_keep of clkm         : signal is true;
196
  attribute syn_preserve of clkml    : signal is true;
197
  attribute syn_preserve of clkm     : signal is true;
198
  attribute syn_keep of lclk_vga     : signal is true;
199
  attribute syn_preserve of lclk_vga : signal is true;
200
  attribute keep of lock             : signal is true;
201
  attribute keep of clkml            : signal is true;
202
  attribute keep of clkm             : signal is true;
203
 
204
  constant BOARD_FREQ : integer := 125000;                                -- input frequency in KHz
205
  constant CPU_FREQ   : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
206
begin
207
 
208
----------------------------------------------------------------------
209
---  Reset and Clock generation  -------------------------------------
210
----------------------------------------------------------------------
211
 
212
  vcc <= '1';
213
  gnd <= '0';
214
  cgi.pllctrl <= "00";
215
  cgi.pllrst <= rstraw;
216
  spi <= '1';                   -- Deselects SPI flash
217
 
218
  -- Glitch free reset that can be used for the Eth Phy and flash memory
219
  reset_o1 <= rstn;
220
  reset_o2 <= rstn;
221
 
222
  rst0 : rstgen generic map (acthigh => 1)
223
    port map (reset, clkm, lock, rstn, rstraw);
224
 
225
  clk_pad : clkpad generic map (tech => padtech) port map (clk_in,  lclk);
226
  clk_vga_pad : clkpad generic map (tech => padtech) port map (clk_vga, lclk_vga);
227
 
228
  -- clock generator
229
  clkgen0 : clkgen
230
    generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
231
    port map (lclk, gnd, clkm, open, open, open, open, cgi, cgo, open, open, open);
232
 
233
---------------------------------------------------------------------- 
234
---  AHB CONTROLLER --------------------------------------------------
235
----------------------------------------------------------------------
236
 
237
  ahb0 : ahbctrl
238
    generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
239
                 rrobin  => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
240
                 nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+CFG_SVGA_ENABLE,
241
                 nahbs => 8)
242
    port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
243
 
244
----------------------------------------------------------------------
245
---  LEON3 processor and DSU -----------------------------------------
246
----------------------------------------------------------------------
247
 
248
  -- LEON3 processor
249
  leon3gen : if CFG_LEON3 = 1 generate
250
    cpu : for i in 0 to CFG_NCPU-1 generate
251
      u0 : leon3s
252
        generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
253
                     0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
254
                     CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
255
                     CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
256
                     CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
257
                     CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR,
258
                     CFG_NCPU-1)
259
        port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i));
260
    end generate;
261
 
262
    error_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
263
 
264
    -- LEON3 Debug Support Unit    
265
    dsugen : if CFG_DSU = 1 generate
266
      dsu0 : dsu3
267
        generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
268
                     ncpu   => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
269
        port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
270
 
271
      dsubre_pad : inpad generic map (tech  => padtech) port map (dsubre, dsui.break);
272
 
273
      dsui.enable <= '1';
274
      led(2) <= dsuo.active;
275
    end generate;
276
  end generate;
277
  nodcom : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; end generate;
278
 
279
  -- Debug UART
280
  dcomgen : if CFG_AHB_UART = 1 generate
281
    dcom0 : ahbuart
282
      generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7)
283
      port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU));
284
    dsurx_pad : inpad generic map (tech  => padtech) port map (dsurx, dui.rxd);
285
    dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
286
    led(0) <= not dui.rxd;
287
    led(1) <= not duo.txd;
288
  end generate;
289
  nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate;
290
 
291
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
292
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
293
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
294
               open, open, open, open, open, open, open, gnd);
295
  end generate;
296
 
297
----------------------------------------------------------------------
298
---  Memory controllers ----------------------------------------------
299
----------------------------------------------------------------------
300
 
301
  mg2 : if CFG_MCTRL_LEON2 = 1 generate        -- LEON2 memory controller
302
    sr1 : mctrl generic map (hindex => 5, pindex => 0, paddr => 0, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, rammask => memmask) --16#F80# )
303
      port map (rstn, clkm, memi, memo, ahbsi, ahbso(5), apbi, apbo(0), wpo, open);
304
  end generate;
305
 
306
  memi.brdyn  <= '1';
307
  memi.bexcn  <= '1';
308
  memi.writen <= '1';
309
  memi.wrn    <= "1111";
310
  memi.bwidth <= "00";
311
 
312
  mg0 : if (CFG_MCTRL_LEON2 = 0) generate
313
    apbo(0) <= apb_none;
314
    ahbso(0) <= ahbs_none;
315
    roms_pad : outpad generic map (tech => padtech)
316
      port map (romsn, vcc);
317
  end generate;
318
 
319
  mgpads : if (CFG_MCTRL_LEON2 /= 0) generate
320
    addr_pad : outpadv generic map (tech => padtech, width => 24)
321
      port map (address, memo.address(23 downto 0));
322
    roms_pad : outpad generic map (tech => padtech)
323
      port map (romsn, memo.romsn(0));
324
    oen_pad : outpad generic map (tech => padtech)
325
      port map (oen, memo.oen);
326
    wri_pad : outpad generic map (tech => padtech)
327
      port map (writen, memo.writen);
328
 
329
-- pragma translate_off
330
    ramsn_pad : outpad generic map (tech => padtech)
331
      port map (ramsn, memo.ramsn(0));
332
    ramoen_pad : outpad generic map (tech => padtech)
333
      port map (ramoen, memo.ramoen(0));
334
    ramen_pad : outpad generic map (tech => padtech)
335
      port map (ramwrn, memo.wrn(0));
336
 
337
    iosn_pad : outpad generic map (tech => padtech)
338
        port map (iosn, memo.iosn);
339
    tbdr : iopadv generic map (tech => padtech, width => 24)
340
        port map (testdata(23 downto 0), memo.data(23 downto 0),
341
                  memo.bdrive(1), memi.data(23 downto 0));
342
-- pragma translate_on
343
 
344
    bdr : iopadv generic map (tech => padtech, width => 8)
345
        port map (data(7 downto 0), memo.data(31 downto 24),
346
                  memo.bdrive(0), memi.data(31 downto 24));
347
  end generate;
348
 
349
----------------------------------------------------------------------
350
---  DDR2 memory controller ------------------------------------------
351
----------------------------------------------------------------------
352
 
353
  ddr2sp0 : if (CFG_DDR2SP /= 0) generate
354
    ddrc0 : ddr2spa generic map ( fabtech => spartan3, memtech => memtech,
355
      hindex => 4, haddr => ddraddr, hmask => 16#F80#, ioaddr => 1,
356
      pwron => CFG_DDR2SP_INIT, MHz => BOARD_FREQ/1000, clkmul => 2, clkdiv => 2,
357
      TRFC => CFG_DDR2SP_TRFC,
358
      ahbfreq => CPU_FREQ/1000, col => CFG_DDR2SP_COL, Mbyte => CFG_DDR2SP_SIZE,
359
      ddrbits => CFG_DDR2SP_DATAWIDTH, odten => 0, readdly => ddrdelay, rskew => ddrskew)
360
    port map ( cgo.clklock, rstn, lclk, clkm, vcc, lock, clkml, clkml, ahbsi, ahbso(4),
361
        core_ddr_clk, core_ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, core_ddr_cke,
362
        core_ddr_csb, ddr_we, ddr_ras, ddr_cas, ddr_dm, ddr_dqs, ddr_dqsn,
363
        core_ddr_ad, ddr_ba, ddr_dq, core_ddr_odt);
364
 
365
    ddr_clk(1 downto 0)  <= core_ddr_clk(1 downto 0);
366
    ddr_clkb(1 downto 0) <= core_ddr_clkb(1 downto 0);
367
    ddr_cke              <= core_ddr_cke(0);
368
    ddr_csb              <= core_ddr_csb(0);
369
    ddr_ad               <= core_ddr_ad(12 downto 0);
370
    ddr_odt              <= core_ddr_odt(0);
371
  end generate;
372
 
373
  noddr : if (CFG_DDR2SP = 0) generate lock <= '1'; end generate;
374
 
375
----------------------------------------------------------------------
376
---  APB Bridge and various periherals -------------------------------
377
----------------------------------------------------------------------
378
 
379
  -- APB Bridge
380
  apb0 : apbctrl
381
    generic map (hindex => 1, haddr => CFG_APBADDR)
382
    port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
383
 
384
  -- Interrupt controller
385
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
386
    irqctrl0 : irqmp
387
      generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
388
      port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
389
  end generate;
390
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
391
    x : for i in 0 to CFG_NCPU-1 generate
392
      irqi(i).irl <= "0000";
393
    end generate;
394
    apbo(2) <= apb_none;
395
  end generate;
396
 
397
  -- Time Unit
398
  gpt : if CFG_GPT_ENABLE /= 0 generate
399
    timer0 : gptimer
400
      generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
401
                   sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW,
402
                   ntimers => CFG_GPT_NTIM, nbits  => CFG_GPT_TW)
403
      port map (rstn, clkm, apbi, apbo(3), gpti, open);
404
    gpti.dhalt  <= dsuo.active;
405
    gpti.extclk <= '0';
406
  end generate;
407
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
408
 
409
  -- GPIO Unit
410
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate
411
    grgpio0: grgpio
412
      generic map(pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 12)
413
      port map(rstn, clkm, apbi, apbo(11), gpioi, gpioo);
414
  end generate;
415
 
416
  ua1 : if CFG_UART1_ENABLE /= 0 generate
417
    uart1 : apbuart                     -- UART 1
418
      generic map (pindex   => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO)
419
      port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
420
    u1i.rxd    <= rxd1;
421
    u1i.ctsn   <= '0';
422
    u1i.extclk <= '0';
423
    txd1       <= u1o.txd;
424
    serrx_pad : inpad generic map (tech  => padtech) port map (dsurx, rxd1);
425
    sertx_pad : outpad generic map (tech => padtech) port map (dsutx, txd1);
426
    led(0) <= not rxd1;
427
    led(1) <= not txd1;
428
  end generate;
429
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
430
 
431
  -- There is no PS/2 port
432
  apbo(5) <= apb_none;
433
 
434
-----------------------------------------------------------------------
435
---  SVGA -------------------------------------------------------------
436
-----------------------------------------------------------------------
437
 
438
  svga : if CFG_SVGA_ENABLE /= 0 generate
439
    svga0 : svgactrl
440
      generic map(memtech => memtech, pindex => 6, paddr => 6,
441
                  hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
442
                  clk0 => 40000,clk1 => 0, clk2 => 0, burstlen => 5)
443
      port map(rstn, clkm, lclk_vga, apbi, apbo(6), vgao, ahbmi,
444
               ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), open);
445
 
446
    vert_sync_pad : outpad generic map (tech => padtech)
447
      port map (vid_vsync, vgao.vsync);
448
    horiz_sync_pad : outpad generic map (tech => padtech)
449
      port map (vid_hsync, vgao.hsync);
450
    video_out_r_pad : outpadv generic map (tech => padtech, width => 4)
451
      port map (vid_r, vgao.video_out_r(7 downto 4));
452
    video_out_g_pad : outpadv generic map (tech => padtech, width => 4)
453
      port map (vid_g, vgao.video_out_g(7 downto 4));
454
    video_out_b_pad : outpadv generic map (tech => padtech, width => 4)
455
      port map (vid_b, vgao.video_out_b(7 downto 4));
456
  end generate;
457
 
458
-----------------------------------------------------------------------
459
---  ETHERNET ---------------------------------------------------------
460
-----------------------------------------------------------------------
461
 
462
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
463
    e1 : grethm
464
      generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE,
465
                  pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
466
                  mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
467
                  nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
468
                  macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
469
                  ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G)
470
      port map(rst => rstn, clk => clkm, ahbmi => ahbmi,
471
               ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE),
472
               apbi => apbi, apbo => apbo(15), ethi => ethi, etho => etho);
473
  end generate;
474
 
475
  ethpads : if (CFG_GRETH = 1) generate -- eth pads
476
    emdio_pad : iopad generic map (tech => padtech)
477
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
478
    etxc_pad : clkpad generic map (tech => padtech, arch => 2)
479
      port map (etx_clk, ethi.tx_clk);
480
    erxc_pad : clkpad generic map (tech => padtech, arch => 2)
481
      port map (erx_clk, ethi.rx_clk);
482
    erxd_pad : inpadv generic map (tech => padtech, width => 4)
483
      port map (erxd, ethi.rxd(3 downto 0));
484
    erxdv_pad : inpad generic map (tech => padtech)
485
      port map (erx_dv, ethi.rx_dv);
486
    erxer_pad : inpad generic map (tech => padtech)
487
      port map (erx_er, ethi.rx_er);
488
    erxco_pad : inpad generic map (tech => padtech)
489
      port map (erx_col, ethi.rx_col);
490
    erxcr_pad : inpad generic map (tech => padtech)
491
      port map (erx_crs, ethi.rx_crs);
492
 
493
    etxd_pad : outpadv generic map (tech => padtech, width => 4)
494
      port map (etxd, etho.txd(3 downto 0));
495
    etxen_pad : outpad generic map (tech => padtech)
496
      port map (etx_en, etho.tx_en);
497
    etxer_pad : outpad generic map (tech => padtech)
498
      port map (etx_er, etho.tx_er);
499
    emdc_pad : outpad generic map (tech => padtech)
500
      port map (emdc, etho.mdc);
501
  end generate;
502
 
503
-----------------------------------------------------------------------
504
---  AHB ROM ----------------------------------------------------------
505
-----------------------------------------------------------------------
506
 
507
  bpromgen : if CFG_AHBROMEN /= 0 generate
508
    brom : entity work.ahbrom
509
      generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
510
      port map ( rstn, clkm, ahbsi, ahbso(6));
511
  end generate;
512
  nobpromgen : if CFG_AHBROMEN = 0 generate
513
     ahbso(6) <= ahbs_none;
514
  end generate;
515
 
516
-----------------------------------------------------------------------
517
---  AHB RAM ----------------------------------------------------------
518
-----------------------------------------------------------------------
519
 
520
  ahbramgen : if CFG_AHBRAMEN = 1 generate
521
    ahbram0 : ahbram
522
      generic map (hindex => 3, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
523
      port map (rstn, clkm, ahbsi, ahbso(3));
524
  end generate;
525
  nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate;
526
 
527
-----------------------------------------------------------------------
528
---  Drive unused bus elements  ---------------------------------------
529
-----------------------------------------------------------------------
530
 
531
  nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+CFG_SVGA_ENABLE+1) to NAHBMST-1 generate
532
    ahbmo(i) <= ahbm_none;
533
  end generate;
534
 
535
-----------------------------------------------------------------------
536
---  Boot message  ----------------------------------------------------
537
-----------------------------------------------------------------------
538
 
539
-- pragma translate_off
540
  x : report_version
541
    generic map (
542
      msg1 => "LEON3 Demonstration design for Xilinx Spartan3A DSP 1800A board",
543
      msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
544
        & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
545
      msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
546
      mdel => 1
547
      );
548
-- pragma translate_on
549
 
550
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.