OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [ut699rh-evab/] [leon3core.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib;
24
use grlib.amba.all;
25
use grlib.stdlib.all;
26
use grlib.devices.all;
27
library techmap;
28
use techmap.gencomp.all;
29
library gaisler;
30
use gaisler.memctrl.all;
31
use gaisler.leon3.all;
32
use gaisler.uart.all;
33
use gaisler.misc.all;
34
use gaisler.can.all;
35
use gaisler.pci.all;
36
use gaisler.net.all;
37
use gaisler.jtag.all;
38
use gaisler.spacewire.all;
39
library esa;
40
use esa.memoryctrl.all;
41
use esa.pcicomp.all;
42
 
43
use work.config.all;
44
 
45
entity leon3core is
46
  generic (
47
    fabtech   : integer := CFG_FABTECH;
48
    memtech   : integer := CFG_MEMTECH;
49
    padtech   : integer := CFG_PADTECH;
50
    clktech   : integer := CFG_CLKTECH;
51
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
52
    dbguart   : integer := CFG_DUART;   -- Print UART on console
53
    pclow     : integer := CFG_PCLOW;
54
    scantest  : integer := 0
55
  );
56
  port (
57
    resetn      : in  std_ulogic;
58
    clkin       : in  std_ulogic;
59
    errorn      : out std_ulogic;
60
    address     : out std_logic_vector(27 downto 0);
61
    datain      : in std_logic_vector(31 downto 0);
62
    dataout     : out std_logic_vector(31 downto 0);
63
    dataen      : out std_logic_vector(31 downto 0);
64
    cbin        : in std_logic_vector(7 downto 0);
65
    cbout       : out std_logic_vector(7 downto 0);
66
    cben        : out std_logic_vector(7 downto 0);
67
    sdcsn       : out std_logic_vector (1 downto 0);    -- sdram chip select
68
    sdwen       : out std_ulogic;                       -- sdram write enable
69
    sdrasn      : out std_ulogic;                       -- sdram ras
70
    sdcasn      : out std_ulogic;                       -- sdram cas
71
    sddqm       : out std_logic_vector (3 downto 0);    -- sdram dqm
72
    dsutx       : out std_ulogic;                       -- DSU tx data
73
    dsurx       : in  std_ulogic;                       -- DSU rx data
74
    dsuen       : in std_ulogic;
75
    dsubre      : in std_ulogic;
76
    dsuact      : out std_ulogic;
77
    txd1        : out std_ulogic;                       -- UART1 tx data
78
    rxd1        : in  std_ulogic;                       -- UART1 rx data
79
    ramsn       : out std_logic_vector (4 downto 0);
80
    ramoen      : out std_logic_vector (4 downto 0);
81
    rwen        : out std_logic_vector (3 downto 0);
82
    oen         : out std_ulogic;
83
    writen      : out std_ulogic;
84
    read        : out std_ulogic;
85
    iosn        : out std_ulogic;
86
    romsn       : out std_logic_vector (1 downto 0);
87
    brdyn       : in  std_ulogic;
88
    bexcn       : in  std_ulogic;
89
    wdogn       : out std_ulogic;
90
    gpioin      : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);      -- I/O port
91
    gpioout     : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);     -- I/O port
92
    gpioen      : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);     -- I/O port
93
    writefb     : in  std_ulogic;
94
 
95
    emdi        : in    std_logic;              -- ethernet PHY interface
96
    emdo        : out std_logic;                -- ethernet PHY interface
97
    emden       : out std_logic;                -- ethernet PHY interface
98
    etx_clk     : in std_ulogic;
99
    erx_clk     : in std_ulogic;
100
    erxd        : in std_logic_vector(3 downto 0);
101
    erx_dv      : in std_ulogic;
102
    erx_er      : in std_ulogic;
103
    erx_col     : in std_ulogic;
104
    erx_crs     : in std_ulogic;
105
    etxd        : out std_logic_vector(3 downto 0);
106
    etx_en      : out std_ulogic;
107
    etx_er      : out std_ulogic;
108
    emdc        : out std_ulogic;
109
 
110
    pciclk      : in std_ulogic;
111
    pcii_rst    : in std_ulogic;
112
    pcii_gnt    : in std_ulogic;
113
    pcii_idsel  : in std_ulogic;
114
    pcii_ad     : in std_logic_vector(31 downto 0);
115
    pcii_cbe    : in std_logic_vector(3 downto 0);
116
    pcii_frame  : in std_ulogic;
117
    pcii_irdy   : in std_ulogic;
118
    pcii_trdy   : in std_ulogic;
119
    pcii_devsel : in std_ulogic;
120
    pcii_stop   : in std_ulogic;
121
    pcii_perr   : in std_ulogic;
122
    pcii_par    : in std_ulogic;
123
    pcii_host   : in std_ulogic;
124
 
125
    pcio_vaden   : out std_logic_vector(31 downto 0);
126
    pcio_cbeen   : out std_logic_vector(3 downto 0);
127
    pcio_frameen : out std_ulogic;
128
    pcio_irdyen  : out std_ulogic;
129
    pcio_trdyen  : out std_ulogic;
130
    pcio_devselen:  out std_ulogic;
131
    pcio_stopen : out std_ulogic;
132
    pcio_perren : out std_ulogic;
133
    pcio_paren  : out std_ulogic;
134
    pcio_reqen  : out std_ulogic;
135
    pcio_locken : out std_ulogic;
136
    pcio_req    : out std_ulogic;
137
    pcio_ad     : out std_logic_vector(31 downto 0);
138
    pcio_cbe    : out std_logic_vector(3 downto 0);
139
    pcio_frame  : out std_ulogic;
140
    pcio_irdy   : out std_ulogic;
141
    pcio_trdy   : out std_ulogic;
142
    pcio_devsel : out std_ulogic;
143
    pcio_stop   : out std_ulogic;
144
    pcio_perr   : out std_ulogic;
145
    pcio_par    : out std_ulogic;
146
 
147
    pcii_arb_req: in  std_logic_vector(0 to CFG_PCI_ARB_NGNT-1);
148
    pcio_arb_gnt: out std_logic_vector(0 to CFG_PCI_ARB_NGNT-1);
149
 
150
    can_tx      : out std_logic_vector(0 to CFG_CAN_NUM-1);
151
    can_rx      : in  std_logic_vector(0 to CFG_CAN_NUM-1);
152
 
153
    spw_clk     : in  std_ulogic;
154
    spw_rxd     : in  std_logic_vector(0 to CFG_SPW_NUM-1);
155
    spw_rxs     : in  std_logic_vector(0 to CFG_SPW_NUM-1);
156
    spw_txd     : out std_logic_vector(0 to CFG_SPW_NUM-1);
157
    spw_txs     : out std_logic_vector(0 to CFG_SPW_NUM-1);
158
    spw_ten     : out std_logic_vector(0 to CFG_SPW_NUM-1);
159
 
160
    tck         : in std_ulogic;
161
    tms         : in std_ulogic;
162
    tdi         : in std_ulogic;
163
    tdo         : out std_ulogic;
164
    trst        : in std_ulogic;
165
 
166
    scanin      : in  std_ulogic;
167
    scanenable  : in  std_ulogic;
168
    testenable  : in  std_ulogic;
169
    testrst     : in  std_ulogic;
170
    scanout     : out std_ulogic;
171
    sdclk       : out std_ulogic;
172
    pllref      : in std_ulogic
173
        );
174
end;
175
 
176
architecture rtl of leon3core is
177
 
178
constant blength : integer := 12;
179
 
180
constant CFG_NCLKS : integer := 7;
181
constant maxahbmsp : integer := CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH;
182
constant maxahbm : integer := (CFG_SPW_NUM*CFG_SPW_EN) + maxahbmsp;
183
 
184
signal vcc, gnd : std_logic_vector(4 downto 0);
185
signal gclk, grst : std_logic_vector(CFG_NCLKS-1 downto 0);
186
signal cpuclk, pwd : std_logic_vector(CFG_NCPU-1 downto 0);
187
signal memi  : memory_in_type;
188
signal memo  : memory_out_type;
189
signal wpo   : wprot_out_type;
190
signal sdi   : sdctrl_in_type;
191
signal sdo   : sdram_out_type;
192
signal sdo2, sdo3 : sdctrl_out_type;
193
 
194
signal clkm, lclk, sdclkl, clk2x : std_ulogic;
195
signal cgi   : clkgen_in_type;
196
signal cgo   : clkgen_out_type;
197
 
198
signal apbi  : apb_slv_in_type;
199
signal apbo  : apb_slv_out_vector := (others => apb_none);
200
signal ahbsi : ahb_slv_in_type;
201
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
202
signal ahbmi : ahb_mst_in_type;
203
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
204
 
205
signal rstn, rstraw : std_ulogic;
206
signal u1i, u2i, dui : uart_in_type;
207
signal u1o, u2o, duo : uart_out_type;
208
 
209
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
210
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
211
 
212
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
213
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
214
 
215
signal dsui : dsu_in_type;
216
signal dsuo : dsu_out_type;
217
 
218
signal pcii : pci_in_type;
219
signal pcio : pci_out_type;
220
 
221
signal ethi, ethi1, ethi2 : eth_in_type;
222
signal etho, etho1, etho2 : eth_out_type;
223
 
224
signal gpti : gptimer_in_type;
225
signal gpto : gptimer_out_type;
226
 
227
signal gpioi, gpioi2 : gpio_in_type;
228
signal gpioo, gpioo2 : gpio_out_type;
229
 
230
--signal tck, tms, tdi, tdo : std_ulogic;
231
 
232
signal spwi : grspw_in_type_vector(0 to CFG_SPW_NUM-1);
233
signal spwo : grspw_out_type_vector(0 to CFG_SPW_NUM-1);
234
signal stati : ahbstat_in_type;
235
signal can_lrx, can_ltx   : std_logic_vector(0 to 7);
236
signal clk   : std_ulogic;
237
signal clklock  : std_ulogic;
238
 
239
constant IOAEN : integer := CFG_CAN;
240
constant CFG_SDEN : integer := CFG_MCTRLFT_SDEN + CFG_MCTRL_SDEN;
241
constant CFG_INVCLK : integer := CFG_MCTRLFT_INVCLK + CFG_MCTRL_INVCLK;
242
 
243
constant BOARD_FREQ : integer := 50000; -- Board frequency in KHz
244
 
245
constant sysfreq : integer := (CFG_CLKMUL*50000/CFG_CLKDIV);
246
constant OEPOL : integer := padoen_polarity(padtech);
247
constant notag : integer := 0;
248
constant CPU_FREQ : integer := 100000;
249
 
250
attribute sync_set_reset : string;
251
attribute sync_set_reset of rstn : signal is "true";
252
 
253
attribute syn_keep : boolean;
254
attribute syn_preserve : boolean;
255
attribute syn_keep of clk2x : signal is true;
256
attribute syn_preserve of clk2x : signal is true;
257
attribute syn_keep of clkm : signal is true;
258
attribute syn_preserve of clkm : signal is true;
259
 
260
begin
261
 
262
--  scan : entity work.scan_dummy
263
--      port map (scanin, scanenable, scanout);
264
 
265
----------------------------------------------------------------------
266
---  Reset and Clock generation  -------------------------------------
267
----------------------------------------------------------------------
268
 
269
  vcc <= (others => '1'); gnd <= (others => '0');
270
  memi.edac <= gpioo.val(2);  -- PROM EDAC
271
  memi.bwidth <= gpioo.val(1 downto 0); -- 32-bit PROM
272
  wpo.wprothit <= '0'; -- no write protection
273
 
274
  rstgen0 : rstgen                      -- reset generator
275
  generic map (syncrst => CFG_NOASYNC)
276
  port map (resetn, clk, clklock, rstn, rstraw);
277
 
278
----------------------------------------------------------------------
279
---  AHB CONTROLLER --------------------------------------------------
280
----------------------------------------------------------------------
281
 
282
  ahbctrl0 : ahbctrl            -- AHB arbiter/multiplexer
283
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
284
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, devid => AEROFLEX_UT699,
285
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
286
  port map (rstn, clk, ahbmi, ahbmo, ahbsi, ahbso,
287
                testenable, testrst, scanenable);
288
 
289
----------------------------------------------------------------------
290
---  LEON3 processor and DSU -----------------------------------------
291
----------------------------------------------------------------------
292
 
293
  cpu : for i in 0 to CFG_NCPU-1 generate
294
    l3ft : if CFG_LEON3FT_EN /= 0 generate
295
      leon3ft0 : leon3ft                -- LEON3 processor      
296
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
297
        0, CFG_MAC, pclow, notag, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
298
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
299
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
300
        CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
301
        CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
302
        CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ,
303
        CFG_CACHE_ERRINJ, 0, 0, scantest)
304
      port map (clk, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
305
                irqi(i), irqo(i), dbgi(i), dbgo(i), cpuclk(i));
306
    end generate;
307
    l3s  : if CFG_LEON3FT_EN = 0 generate
308
      leon3s0 : leon3cg                 -- LEON3 processor      
309
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
310
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
311
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
312
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
313
        CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
314
        CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
315
      port map (clk, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
316
                irqi(i), irqo(i), dbgi(i), dbgo(i), cpuclk(i));
317
    end generate;
318
    pwd(i) <= dsuo.pwd(i);
319
  end generate;
320
  errorn <= dbgo(0).error when OEPOL = 0 else not dbgo(0).error;
321
 
322
  dsugen : if CFG_DSU = 1 generate
323
    dsu0 : dsu3                 -- LEON3 Debug Support Unit
324
    generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
325
       ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
326
    port map (rstn, clk, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
327
    dsui.enable <= dsuen; dsui.break <= dsubre; dsuact <= dsuo.active;
328
  end generate;
329
  nodsu : if CFG_DSU = 0 generate
330
    ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
331
  end generate;
332
 
333
  dcomgen : if CFG_AHB_UART = 1 generate
334
    ahbuart0: ahbuart           -- Debug UART
335
    generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
336
    port map (rstn, clk, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
337
    dui.rxd <= dsurx; dsutx <= duo.txd;
338
  end generate;
339
  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
340
 
341
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
342
    ahbjtag0 : ahbjtag generic map(tech => fabtech, part => JTAG_UT699RH,
343
        hindex => CFG_NCPU+CFG_AHB_UART, scantest => scantest)
344
      port map(rstn, clk, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
345
               open, open, open, open, open, open, open, gnd(0), trst);
346
  end generate;
347
 
348
----------------------------------------------------------------------
349
---  Memory controllers ----------------------------------------------
350
----------------------------------------------------------------------
351
 
352
  address <= memo.address(27 downto 0);
353
  ramsn <= memo.ramsn(4 downto 0); romsn <= memo.romsn(1 downto 0);
354
  oen <= memo.oen; rwen <= memo.wrn; ramoen <= memo.ramoen(4 downto 0);
355
  writen <= memo.writen; read <= memo.read; iosn <= memo.iosn;
356
  dataout <= memo.data(31 downto 0); dataen <= memo.vbdrive(31 downto 0);
357
  memi.data(31 downto 0) <= datain;
358
  sdwen <= sdo.sdwen; sdrasn <= sdo.rasn; sdcasn <= sdo.casn;
359
  sddqm <= sdo.dqm(3 downto 0); sdcsn <= sdo.sdcsn;
360
  cbout <= memo.cb(7 downto 0); cben <= memo.vcdrive(7 downto 0);
361
  memi.cb(7 downto 0) <= cbin;
362
 
363
  mg2 : if CFG_MCTRLFT = 1 generate     -- FT memory controller
364
    ftmctrl0 : ftmctrl generic map (hindex => 0, pindex => 0,
365
        paddr => 0, srbanks => 4 + CFG_MCTRLFT_5CS, sden => CFG_MCTRLFT_SDEN,
366
        ram8 => CFG_MCTRLFT_RAM8BIT, ram16 => CFG_MCTRLFT_RAM16BIT,
367
        invclk => CFG_MCTRLFT_INVCLK, sepbus => CFG_MCTRLFT_SEPBUS,
368
        oepol => OEPOL, edac => CFG_MCTRLFT_EDAC, syncrst => CFG_NOASYNC,
369
        pageburst => CFG_MCTRLFT_PAGE, writefb => CFG_MCTRLFT_WFB)
370
    port map (rstn, clk, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
371
  end generate;
372
 
373
  mg1 : if CFG_MCTRL_LEON2 = 1 generate         -- STD memory controller
374
    mctrl0 : mctrl generic map (hindex => 0, pindex => 0,
375
        paddr => 0, srbanks => 4 + CFG_MCTRL_5CS, sden => CFG_MCTRL_SDEN,
376
        ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,
377
        invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS,
378
        oepol => OEPOL, syncrst => CFG_NOASYNC,
379
        pageburst => CFG_MCTRL_PAGE) --, writefb => CFG_MCTRL_WFB)
380
    port map (rstn, clk, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
381
  end generate;
382
 
383
  nosd0 : if (CFG_SDEN = 0) generate     -- no SDRAM controller
384
    sdo.sdcsn <= (others => '1');
385
  end generate;
386
 
387
  memi.writen <= writefb; memi.wrn <= "1111";
388
  memi.brdyn <= brdyn; memi.bexcn <= bexcn;
389
 
390
  mg0 : if (CFG_MCTRLFT + CFG_MCTRL_LEON2) = 0 generate  -- None PROM/SRAM controller
391
    apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
392
    memo.ramsn <= (others => '1'); memo.romsn <= (others => '1');
393
  end generate;
394
 
395
 
396
----------------------------------------------------------------------
397
---  APB Bridge and various periherals -------------------------------
398
----------------------------------------------------------------------
399
 
400
  apbctrl0 : apbctrl                            -- AHB/APB bridge
401
  generic map (hindex => 1, haddr => CFG_APBADDR)
402
  port map (rstn, clk, ahbsi, ahbso(1), apbi, apbo );
403
 
404
  ua1 : if CFG_UART1_ENABLE /= 0 generate
405
    apbuart0 : apbuart                  -- UART 1
406
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
407
        fifosize => CFG_UART1_FIFO)
408
    port map (rstn, clk, apbi, apbo(1), u1i, u1o);
409
    u1i.ctsn <= '0'; u1i.extclk <= '0';
410
    txd1 <= u1o.txd; u1i.rxd <= rxd1;
411
  end generate;
412
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
413
 
414
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
415
    irqctrl0 : irqmp                    -- interrupt controller
416
    generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
417
    port map (rstn, clk, apbi, apbo(2), irqo, irqi);
418
  end generate;
419
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
420
    x : for i in 0 to CFG_NCPU-1 generate
421
      irqi(i).irl <= "0000";
422
    end generate;
423
    apbo(2) <= apb_none;
424
  end generate;
425
 
426
  gpt : if CFG_GPT_ENABLE /= 0 generate
427
    gptimer0 : gptimer                  -- timer unit
428
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
429
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
430
        nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOGEN*CFG_GPT_WDOG)
431
    port map (rstn, clk, apbi, apbo(3), gpti, gpto);
432
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
433
    wdogn <= gpto.wdogn when OEPOL = 0 else gpto.wdog;
434
  end generate;
435
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
436
 
437
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
438
    grgpio0: grgpio
439
      generic map( pindex => 9, paddr => 9, imask => CFG_GRGPIO_IMASK,
440
        nbits => CFG_GRGPIO_WIDTH, oepol => OEPOL, syncrst => CFG_NOASYNC)
441
      port map( rstn, clk, apbi, apbo(9), gpioi, gpioo);
442
    gpioout <= gpioo.dout(CFG_GRGPIO_WIDTH-1 downto 0);
443
    gpioen <= gpioo.oen(CFG_GRGPIO_WIDTH-1 downto 0);
444
    gpioi.din(CFG_GRGPIO_WIDTH-1 downto 0) <= gpioin;
445
  end generate;
446
  nogpio : if CFG_GRGPIO_ENABLE = 0 generate apbo(5) <= apb_none; end generate;
447
 
448
    cgi.pllctrl <= "00"; cgi.pllrst <= resetn;
449
    pllref_pad : clkpad generic map (tech => padtech) port map (pllref, cgi.pllref);
450
--    clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk); 
451
    lclk <= clkin;
452
    clkgen0 : clkgen            -- clock generator
453
      generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_SDEN,
454
        CFG_INVCLK, 0, CFG_PCIDLL, CFG_PCISYSCLK, BOARD_FREQ)
455
      port map (lclk, lclk, clkm, open, clk2x, sdclk, open, cgi, cgo);
456
--    sdclk_pad : outpad generic map (tech => padtech) 
457
--      port map (sdclk, sdclkl);
458
    gclk <= (others => clkm); grst <= (others => rstn);
459
    clk <= clkm; cpuclk <= (others => clkm);
460
    clklock <= cgo.clklock;
461
 
462
  ahbs : if CFG_AHBSTAT = 1 generate    -- AHB status register
463
    stati.cerror(0) <= memo.ce;
464
    ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 1,
465
        nftslv => CFG_AHBSTATN)
466
      port map (rstn, clk, ahbmi, ahbsi, stati, apbi, apbo(15));
467
  end generate;
468
  nop2 : if CFG_AHBSTAT = 0 generate apbo(15) <= apb_none; end generate;
469
 
470
-----------------------------------------------------------------------
471
---  PCI   ------------------------------------------------------------
472
-----------------------------------------------------------------------
473
 
474
  pp : if CFG_PCI /= 0 generate
475
 
476
    pci_gr0 : if CFG_PCI = 1 generate   -- simple target-only
477
      pci0 : pci_target generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
478
        device_id => CFG_PCIDID, vendor_id => CFG_PCIVID)
479
      port map (rstn, clk, pciclk, pcii, pcio, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG));
480
    end generate;
481
 
482
    pci_mtf0 : if CFG_PCI = 2 generate  -- master/target with fifo
483
      pci0 : pci_mtf generic map (memtech => memtech, hmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
484
          fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
485
          hslvndx => 4, pindex => 4, paddr => 4, haddr => 16#E00#,
486
          ioaddr => 16#400#, nsync => 2, oepol => oepol)
487
     port map (grst(CFG_SPW_EN*CFG_SPW_NUM+CFG_CAN+CFG_GRETH),
488
        gclk(CFG_SPW_EN*CFG_SPW_NUM+CFG_CAN+CFG_GRETH),
489
        pciclk, pcii, pcio, apbi, apbo(4),
490
        ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
491
    end generate;
492
 
493
    pci_mtf1 : if CFG_PCI = 3 generate  -- master/target with fifo and DMA
494
      pcidma0 : pcidma generic map (memtech => 0, dmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1,
495
          dapbndx => 5, dapbaddr => 5, blength => blength, mstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
496
          fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
497
          slvndx => 4, apbndx => 4, apbaddr => 4, haddr => 16#C00#,
498
          hmask => 16#C00#, ioaddr => 16#000#,
499
          nsync => 2, oepol => oepol, irq => 3, scanen => scantest)
500
        port map (grst(CFG_SPW_EN*CFG_SPW_NUM+CFG_CAN+CFG_GRETH),
501
          gclk(CFG_SPW_EN*CFG_SPW_NUM+CFG_CAN+CFG_GRETH),
502
          pciclk, pcii, pcio, apbo(5),  ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1),
503
          apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
504
    end generate;
505
 
506
    pci_trc0 : if CFG_PCITBUFEN /= 0 generate    -- PCI trace buffer
507
      pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)),
508
        memtech => memtech, pindex => 6, paddr => 16#100#, pmask => 16#f00#)
509
        port map ( rstn, clk, pciclk, pcii, apbi, apbo(6));
510
    end generate;
511
 
512
     pcii.rst <=  pcii_rst; pcii.gnt <= pcii_gnt; pcii.host <=  pcii_host;
513
     pcii.idsel <=  pcii_idsel;
514
     pcii.ad <= pcii_ad; pcio_vaden <= pcio.vaden; pcio_ad <= pcio.ad;
515
     pcii.cbe <= pcii_cbe; pcio_cbeen <= pcio.cbeen; pcio_cbe <= pcio.cbe;
516
     pcii.frame <= pcii_frame; pcio_frameen <= pcio.frameen; pcio_frame <= pcio.frame;
517
     pcii.trdy <= pcii_trdy; pcio_trdyen <= pcio.trdyen; pcio_trdy <= pcio.trdy;
518
     pcii.irdy <= pcii_irdy; pcio_irdyen <= pcio.irdyen; pcio_irdy <= pcio.irdy;
519
     pcii.devsel <= pcii_devsel; pcio_devselen <= pcio.devselen; pcio_devsel <= pcio.devsel;
520
     pcii.stop <= pcii_stop; pcio_stopen <= pcio.stopen; pcio_stop <= pcio.stop;
521
     pcii.perr <= pcii_perr; pcio_perren <= pcio.perren; pcio_perr <= pcio.perr;
522
     pcii.par <= pcii_par; pcio_paren <= pcio.paren; pcio_par <= pcio.par;
523
     pcio_req <= pcio.req; pcio_reqen <= pcio.reqen;
524
  end generate;
525
 
526
  pcia0 : if CFG_PCI_ARB = 1 generate   -- PCI arbiter
527
      pciarb0 : pciarb generic map (pindex => 8, paddr => 8,
528
        apb_en => CFG_PCI_ARBAPB, NB_AGENTS => CFG_PCI_ARB_NGNT)
529
       port map ( clk => pciclk, rst_n => pcii.rst,
530
         req_n => pcii_arb_req, frame_n => pcii.frame,
531
         gnt_n => pcio_arb_gnt, pclk => clk,
532
         prst_n => rstn, apbi => apbi, apbo => apbo(8)
533
       );
534
  end generate;
535
 
536
--  nop1 : if CFG_PCI <= 1 generate apbo(4) <= apb_none; end generate;
537
--  nop2 : if CFG_PCI <= 2 generate apbo(5) <= apb_none; end generate;
538
--  nop3 : if CFG_PCI <= 1 generate ahbso(4) <= ahbs_none; end generate;
539
--  notrc : if CFG_PCITBUFEN = 0 generate apbo(8) <= apb_none; end generate;
540
--  noarb : if CFG_PCI_ARB = 0 generate apbo(10) <= apb_none; end generate;
541
 
542
-----------------------------------------------------------------------
543
---  ETHERNET ---------------------------------------------------------
544
-----------------------------------------------------------------------
545
 
546
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
547
      greth0 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG,
548
        pindex => 14, paddr => 14, pirq => 14, memtech => 0,
549
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
550
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
551
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
552
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL,
553
        oepol => OEPOL, scanen => scantest)
554
     port map (rst => grst(CFG_SPW_EN*CFG_SPW_NUM+CFG_CAN),
555
        clk => gclk(CFG_SPW_EN*CFG_SPW_NUM+CFG_CAN), ahbmi => ahbmi,
556
       ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG), apbi => apbi,
557
       apbo => apbo(14), ethi => ethi, etho => etho);
558
 
559
    emdo <= etho.mdio_o; emden <= etho.mdio_oe; ethi.mdio_i <= emdi;
560
    ethi.tx_clk <= etx_clk; ethi.rx_clk <= erx_clk;
561
    ethi.rxd(3 downto 0) <= erxd;
562
    ethi.rx_dv <= erx_dv; ethi.rx_er <= erx_er;
563
    ethi.rx_col <= erx_col; ethi.rx_crs <= erx_crs;
564
    etxd <= etho.txd(3 downto 0); etx_en <= etho.tx_en;
565
    etx_er <= etho.tx_er; emdc <= etho.mdc;
566
 
567
  end generate;
568
 
569
-----------------------------------------------------------------------
570
---  SPACEWIRE  -------------------------------------------------------
571
-----------------------------------------------------------------------
572
 
573
  spw : if CFG_SPW_EN > 0 generate
574
    swloop : for i in 0 to CFG_SPW_NUM-1 generate
575
     grspw0 : grspw generic map(tech => fabtech,
576
        hindex => maxahbmsp+i, pindex => 10+i, paddr => 10+i, pirq => 10+i,
577
        sysfreq => sysfreq, nsync => 1, rmap => CFG_SPW_RMAP*i/2,
578
        rmapcrc => CFG_SPW_RMAPCRC, fifosize1 => CFG_SPW_AHBFIFO,
579
        fifosize2 => CFG_SPW_RXFIFO, rxclkbuftype => 1,
580
        rmapbufs => CFG_SPW_RMAPBUF, ft => CFG_SPW_FT,
581
        scantest => scantest, techfifo => 0, ports => 1, memtech => 0*memtech)
582
     port map(grst(i), gclk(i), clk2x, --spw_clk, 
583
        ahbmi, ahbmo(maxahbmsp+i), apbi, apbo(10+i), spwi(i), spwo(i));
584
     spwi(i).tickin <= '0'; spwi(i).rmapen <= '1';
585
     spwi(i).clkdiv10 <= conv_std_logic_vector(100000/10000-1, 8);
586
     spwi(i).d(0) <= spw_rxd(i); spwi(i).s(0) <= spw_rxs(i);
587
     spw_txd(i) <= spwo(i).d(0); spw_txs(i) <= spwo(i).s(0);
588
     spw_ten(i) <= spwo(i).linkdis when OEPOL = 0 else not spwo(i).linkdis;
589
    end generate;
590
  end generate;
591
 
592
-----------------------------------------------------------------------
593
---  CAN --------------------------------------------------------------
594
-----------------------------------------------------------------------
595
 
596
   can0 : if CFG_CAN = 1 generate
597
     can_mc0 : can_mc generic map (slvndx => 6, ioaddr => CFG_CANIO,
598
        iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => 0,
599
        ncores => CFG_CAN_NUM, sepirq => CFG_CANSEPIRQ,
600
        syncrst => CFG_NOASYNC)
601
        port map (grst(CFG_SPW_EN*CFG_SPW_NUM), gclk(CFG_SPW_EN*CFG_SPW_NUM),
602
                ahbsi, ahbso(6), can_lrx, can_ltx );
603
   end generate;
604
 
605
   can_lrx(0 to CFG_CAN_NUM-1) <= can_rx; can_lrx(CFG_CAN_NUM to 7) <= (others => '0');
606
   can_tx <= can_ltx(0 to CFG_CAN_NUM-1);
607
 
608
   ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
609
 
610
-----------------------------------------------------------------------
611
---  Drive unused bus elements  ---------------------------------------
612
-----------------------------------------------------------------------
613
 
614
  noam1 : for i in maxahbm to NAHBMST-1 generate
615
    ahbmo(i) <= ahbm_none;
616
  end generate;
617
--  noap0 : for i in 12+(CFG_SPW_NUM*CFG_SPW_EN) to NAPBSLV-1-CFG_AHBSTAT 
618
--      generate apbo(i) <= apb_none; end generate;
619
  noah0 : for i in 9 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
620
 
621
-----------------------------------------------------------------------
622
---  Boot message  ----------------------------------------------------
623
-----------------------------------------------------------------------
624
 
625
-- pragma translate_off
626
  x : report_version
627
  generic map (
628
   msg1 => "LEON3 Aeroflex UT699RH design",
629
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
630
      & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
631
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
632
   mdel => 1
633
  );
634
-- pragma translate_on
635
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.