OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [esa/] [memoryctrl/] [memoryctrl.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
----------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2004 GAISLER RESEARCH
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  See the file COPYING for the full details of the license.
11
--
12
------------------------------------------------------------------------------
13
-- Entity:      memctrl
14
-- File:        memctrl.vhd
15
-- Author:      Jiri Gaisler - Gaisler Research
16
-- Description: Memory controller package
17
------------------------------------------------------------------------------
18
 
19
library ieee;
20
use ieee.std_logic_1164.all;
21
library grlib;
22
use grlib.amba.all;
23
library gaisler;
24
use gaisler.memctrl.all;
25
 
26
package memoryctrl is
27
 
28
component mctrl
29
  generic (
30
    hindex    : integer := 0;
31
    pindex    : integer := 0;
32
    romaddr   : integer := 16#000#;
33
    rommask   : integer := 16#E00#;
34
    ioaddr    : integer := 16#200#;
35
    iomask    : integer := 16#E00#;
36
    ramaddr   : integer := 16#400#;
37
    rammask   : integer := 16#C00#;
38
    paddr     : integer := 0;
39
    pmask     : integer := 16#fff#;
40
    wprot     : integer := 0;
41
    invclk    : integer := 0;
42
    fast      : integer := 0;
43
    romasel   : integer := 28;
44
    sdrasel   : integer := 29;
45
    srbanks   : integer := 4;
46
    ram8      : integer := 0;
47
    ram16     : integer := 0;
48
    sden      : integer := 0;
49
    sepbus    : integer := 0;
50
    sdbits    : integer := 32;
51
    sdlsb     : integer := 2;
52
    oepol     : integer := 0;
53
    syncrst   : integer := 0;
54
    pageburst : integer := 0;
55
    mobile    : integer := 0
56
  );
57
  port (
58
    rst       : in  std_ulogic;
59
    clk       : in  std_ulogic;
60
    memi      : in  memory_in_type;
61
    memo      : out memory_out_type;
62
    ahbsi     : in  ahb_slv_in_type;
63
    ahbso     : out ahb_slv_out_type;
64
    apbi      : in  apb_slv_in_type;
65
    apbo      : out apb_slv_out_type;
66
    wpo       : in  wprot_out_type;
67
    sdo       : out sdram_out_type
68
  );
69
 
70
end component;
71
 
72
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.