OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [eth/] [wrapper/] [greth_gbit_gen.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      greth_gbit_gen
20
-- File:        greth_gbit_gen.vhd
21
-- Author:      Marko Isomaki 
22
-- Description: Generic Gigabit Ethernet MAC 
23
------------------------------------------------------------------------------
24
library ieee;
25
library grlib;
26
use ieee.std_logic_1164.all;
27
use grlib.stdlib.all;
28
library techmap;
29
use techmap.gencomp.all;
30
library eth;
31
use eth.ethcomp.all;
32
 
33
entity greth_gbit_gen is
34
  generic(
35
    memtech        : integer := 0;
36
    ifg_gap        : integer := 24;
37
    attempt_limit  : integer := 16;
38
    backoff_limit  : integer := 10;
39
    slot_time      : integer := 128;
40
    mdcscaler      : integer range 0 to 255 := 25;
41
    nsync          : integer range 1 to 2 := 2;
42
    edcl           : integer range 0 to 1 := 0;
43
    edclbufsz      : integer range 1 to 64 := 1;
44
    burstlength    : integer range 4 to 128 := 32;
45
    macaddrh       : integer := 16#00005E#;
46
    macaddrl       : integer := 16#000000#;
47
    ipaddrh        : integer := 16#c0a8#;
48
    ipaddrl        : integer := 16#0035#;
49
    phyrstadr      : integer range 0 to 32 := 0;
50
    sim            : integer range 0 to 1 := 0;
51
    oepol          : integer range 0 to 1 := 0;
52
    scanen         : integer range 0 to 1 := 0);
53
  port(
54
    rst            : in  std_ulogic;
55
    clk            : in  std_ulogic;
56
    --ahb mst in
57
    hgrant         : in  std_ulogic;
58
    hready         : in  std_ulogic;
59
    hresp          : in  std_logic_vector(1 downto 0);
60
    hrdata         : in  std_logic_vector(31 downto 0);
61
    --ahb mst out
62
    hbusreq        : out  std_ulogic;
63
    hlock          : out  std_ulogic;
64
    htrans         : out  std_logic_vector(1 downto 0);
65
    haddr          : out  std_logic_vector(31 downto 0);
66
    hwrite         : out  std_ulogic;
67
    hsize          : out  std_logic_vector(2 downto 0);
68
    hburst         : out  std_logic_vector(2 downto 0);
69
    hprot          : out  std_logic_vector(3 downto 0);
70
    hwdata         : out  std_logic_vector(31 downto 0);
71
    --apb slv in 
72
    psel           : in   std_ulogic;
73
    penable        : in   std_ulogic;
74
    paddr          : in   std_logic_vector(31 downto 0);
75
    pwrite         : in   std_ulogic;
76
    pwdata         : in   std_logic_vector(31 downto 0);
77
    --apb slv out
78
    prdata         : out  std_logic_vector(31 downto 0);
79
    --irq
80
    irq            : out  std_logic;
81
    --ethernet input signals
82
    gtx_clk        : in   std_ulogic;
83
    tx_clk         : in   std_ulogic;
84
    rx_clk         : in   std_ulogic;
85
    rxd            : in   std_logic_vector(7 downto 0);
86
    rx_dv          : in   std_ulogic;
87
    rx_er          : in   std_ulogic;
88
    rx_col         : in   std_ulogic;
89
    rx_crs         : in   std_ulogic;
90
    mdio_i         : in   std_ulogic;
91
    phyrstaddr     : in   std_logic_vector(4 downto 0);
92
    --ethernet output signals
93
    reset          : out  std_ulogic;
94
    txd            : out  std_logic_vector(7 downto 0);
95
    tx_en          : out  std_ulogic;
96
    tx_er          : out  std_ulogic;
97
    mdc            : out  std_ulogic;
98
    mdio_o         : out  std_ulogic;
99
    mdio_oe        : out  std_ulogic;
100
    --scantest
101
    testrst        : in   std_ulogic;
102
    testen         : in   std_ulogic
103
    );
104
end entity;
105
 
106
architecture rtl of greth_gbit_gen is
107
  --host constants
108
  constant fifosize        : integer := 512;
109
  constant fabits          : integer := log2(fifosize);
110
  constant fsize           : std_logic_vector(fabits downto 0) :=
111
    conv_std_logic_vector(fifosize, fabits+1);
112
 
113
  --edcl constants
114
  type szvct is array (0 to 6) of integer;
115
  constant ebuf : szvct := (64, 128, 128, 256, 256, 256, 256);
116
  constant eabits: integer := log2(edclbufsz) + 8;
117
  constant ebufsize : integer := ebuf(log2(edclbufsz));
118
 
119
  --rx ahb fifo
120
  signal rxrenable      : std_ulogic;
121
  signal rxraddress     : std_logic_vector(8 downto 0);
122
  signal rxwrite        : std_ulogic;
123
  signal rxwdata        : std_logic_vector(31 downto 0);
124
  signal rxwaddress     : std_logic_vector(8 downto 0);
125
  signal rxrdata        : std_logic_vector(31 downto 0);
126
  --tx ahb fifo  
127
  signal txrenable      : std_ulogic;
128
  signal txraddress     : std_logic_vector(8 downto 0);
129
  signal txwrite        : std_ulogic;
130
  signal txwdata        : std_logic_vector(31 downto 0);
131
  signal txwaddress     : std_logic_vector(8 downto 0);
132
  signal txrdata        : std_logic_vector(31 downto 0);
133
  --edcl buf     
134
  signal erenable       : std_ulogic;
135
  signal eraddress      : std_logic_vector(15 downto 0);
136
  signal ewritem        : std_ulogic;
137
  signal ewritel        : std_ulogic;
138
  signal ewaddressm     : std_logic_vector(15 downto 0);
139
  signal ewaddressl     : std_logic_vector(15 downto 0);
140
  signal ewdata         : std_logic_vector(31 downto 0);
141
  signal erdata         : std_logic_vector(31 downto 0);
142
 
143
begin
144
  gtxc0: greth_gbitc
145
    generic map(
146
      ifg_gap        => ifg_gap,
147
      attempt_limit  => attempt_limit,
148
      backoff_limit  => backoff_limit,
149
      slot_time      => slot_time,
150
      mdcscaler      => mdcscaler,
151
      nsync          => nsync,
152
      edcl           => edcl,
153
      edclbufsz      => edclbufsz,
154
      burstlength    => burstlength,
155
      macaddrh       => macaddrh,
156
      macaddrl       => macaddrl,
157
      ipaddrh        => ipaddrh,
158
      ipaddrl        => ipaddrl,
159
      phyrstadr      => phyrstadr,
160
      sim            => sim,
161
      oepol          => oepol,
162
      scanen         => scanen)
163
    port map(
164
      rst            => rst,
165
      clk            => clk,
166
      --ahb mst in   
167
      hgrant         => hgrant,
168
      hready         => hready,
169
      hresp          => hresp,
170
      hrdata         => hrdata,
171
      --ahb mst out  
172
      hbusreq        => hbusreq,
173
      hlock          => hlock,
174
      htrans         => htrans,
175
      haddr          => haddr,
176
      hwrite         => hwrite,
177
      hsize          => hsize,
178
      hburst         => hburst,
179
      hprot          => hprot,
180
      hwdata         => hwdata,
181
      --apb slv in 
182
      psel           => psel,
183
      penable        => penable,
184
      paddr          => paddr,
185
      pwrite         => pwrite,
186
      pwdata         => pwdata,
187
      --apb slv out
188
      prdata         => prdata,
189
      --irq
190
      irq            => irq,
191
      --rx ahb fifo
192
      rxrenable      => rxrenable,
193
      rxraddress     => rxraddress,
194
      rxwrite        => rxwrite,
195
      rxwdata        => rxwdata,
196
      rxwaddress     => rxwaddress,
197
      rxrdata        => rxrdata,
198
      --tx ahb fifo  
199
      txrenable      => txrenable,
200
      txraddress     => txraddress,
201
      txwrite        => txwrite,
202
      txwdata        => txwdata,
203
      txwaddress     => txwaddress,
204
      txrdata        => txrdata,
205
      --edcl buf
206
      erenable       => erenable,
207
      eraddress      => eraddress,
208
      ewritem        => ewritem,
209
      ewritel        => ewritel,
210
      ewaddressm     => ewaddressm,
211
      ewaddressl     => ewaddressl,
212
      ewdata         => ewdata,
213
      erdata         => erdata,
214
      --ethernet input signals
215
      gtx_clk        => gtx_clk,
216
      tx_clk         => tx_clk,
217
      rx_clk         => rx_clk,
218
      rxd            => rxd,
219
      rx_dv          => rx_dv,
220
      rx_er          => rx_er,
221
      rx_col         => rx_col,
222
      rx_crs         => rx_crs,
223
      mdio_i         => mdio_i,
224
      phyrstaddr     => phyrstaddr,
225
      --ethernet output signals
226
      reset          => reset,
227
      txd            => txd,
228
      tx_en          => tx_en,
229
      tx_er          => tx_er,
230
      mdc            => mdc,
231
      mdio_o         => mdio_o,
232
      mdio_oe        => mdio_oe,
233
      --scantest     
234
      testrst        => testrst,
235
      testen         => testen);
236
 
237
-------------------------------------------------------------------------------
238
-- FIFOS ----------------------------------------------------------------------
239
-------------------------------------------------------------------------------
240
  tx_fifo0 : syncram_2p generic map(tech => memtech, abits => fabits,
241
    dbits => 32, sepclk => 0)
242
    port map(clk, txrenable, txraddress(fabits-1 downto 0), txrdata, clk,
243
    txwrite, txwaddress(fabits-1 downto 0), txwdata);
244
 
245
  rx_fifo0 : syncram_2p generic map(tech => memtech, abits => fabits,
246
    dbits => 32, sepclk => 0)
247
    port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk,
248
    rxwrite, rxwaddress(fabits-1 downto 0), rxwdata);
249
 
250
-------------------------------------------------------------------------------
251
-- EDCL buffer ram ------------------------------------------------------------
252
-------------------------------------------------------------------------------
253
  edclram : if (edcl = 1) generate
254
    rloopm : for i in 0 to 1 generate
255
      r0 : syncram_2p generic map (memtech, eabits, 8) port map (
256
        clk, erenable, eraddress(eabits-1 downto 0), erdata(i*8+23 downto i*8+16), clk,
257
        ewritem, ewaddressm(eabits-1 downto 0), ewdata(i*8+23 downto i*8+16));
258
    end generate;
259
    rloopl : for i in 0 to 1 generate
260
      r0 : syncram_2p generic map (memtech, eabits, 8) port map (
261
        clk, erenable, eraddress(eabits-1 downto 0), erdata(i*8+7 downto i*8), clk,
262
        ewritel, ewaddressl(eabits-1 downto 0), ewdata(i*8+7 downto i*8));
263
    end generate;
264
  end generate;
265
 
266
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.