OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [ata/] [atactrl.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity: atactrl 
20
-- File: atactrl.vhd
21
-- Author:  Jiri Gaisler, Gaisler Research
22
-- Description: ATA controller
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library grlib;
28
use grlib.amba.all;
29
library gaisler;
30
use gaisler.ata.all;
31
 
32
entity atactrl is
33
 generic (
34
   tech    : integer := 0;
35
   fdepth  : integer := 8;
36
   mhindex : integer := 0;
37
   shindex : integer := 0;
38
   haddr   : integer := 0;
39
   hmask   : integer := 16#ff0#;
40
   pirq    : integer := 0;
41
   mwdma   : integer := 0;
42
 
43
   TWIDTH : natural := 8;                      -- counter width
44
 
45
   -- PIO mode 0 settings (@100MHz clock)
46
   PIO_mode0_T1 : natural := 6;                -- 70ns
47
   PIO_mode0_T2 : natural := 28;               -- 290ns
48
   PIO_mode0_T4 : natural := 2;                -- 30ns
49
   PIO_mode0_Teoc : natural := 23              -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240
50
 
51
 );
52
 port (
53
   rst     : in  std_ulogic;
54
   arst    : in  std_ulogic;
55
   clk     : in  std_ulogic;
56
   ahbsi   : in  ahb_slv_in_type;
57
   ahbso   : out ahb_slv_out_type;
58
   ahbmi   : in  ahb_mst_in_type;
59
   ahbmo   : out ahb_mst_out_type;
60
   cfo     : out cf_out_type;
61
   atai    : in ata_in_type;
62
   atao    : out ata_out_type
63
 );
64
end;
65
 
66
 
67
architecture rtl of atactrl is
68
begin
69
 
70
  dmaen : if mwdma  = 1 generate
71
   x0 : entity work.atactrl_dma generic map (tech, fdepth, mhindex, shindex, haddr, hmask,
72
        pirq, TWIDTH, PIO_mode0_T1, PIO_mode0_T2, PIO_mode0_T4, PIO_mode0_Teoc)
73
        port map (rst, arst, clk, ahbsi, ahbso, ahbmi, ahbmo, cfo,
74
        atai.ddi, atai.iordy, atai.intrq, atao.rstn, atao.ddo, atao.oen,
75
        atao.da, atao.cs0, atao.cs1, atao.dior, atao.diow, atao.dmack, atai.dmarq);
76
  end generate;
77
 
78
  nodma : if mwdma /= 1 generate
79
   x0 : entity work.atactrl_nodma generic map (shindex, haddr, hmask,
80
        pirq, TWIDTH, PIO_mode0_T1, PIO_mode0_T2, PIO_mode0_T4, PIO_mode0_Teoc)
81
        port map (rst, arst, clk, ahbsi, ahbso, cfo,
82
        atai.ddi, atai.iordy, atai.intrq, atao.rstn, atao.ddo, atao.oen,
83
        atao.da, atao.cs0, atao.cs1, atao.dior, atao.diow, atao.dmack);
84
        ahbmo <= ahbm_none;
85
  end generate;
86
end;
87
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.