OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [leon3/] [reg_zero.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
 
6
 
7
entity reg_zero is
8
Port(
9
      address:in std_logic_vector(4 downto 0);
10
      we_o:   in std_logic;
11
      address_o:  out std_logic_vector(4 downto 0);
12
      we_o1:  out std_logic
13
) ;
14
end reg_zero;
15
 
16
architecture behavioural of reg_zero is
17
signal addr1:std_logic_vector(4 downto 0);
18
 
19
begin
20
addr1<=address;
21
process (addr1)
22
    variable i:integer:=0;
23
 
24
    begin
25
      if (((addr1(0) = '0') and (addr1(1)='0') and (addr1(2) = '0') and(addr1(3) = '0') and (addr1(4) = '0'))and(i=0)) then
26
        we_o1<='1' after 0ns,'0' after 200ns;
27
         i:=1;
28
 
29
      elsif  ((addr1(0) = '0') and (addr1(1)='0') and (addr1(2) = '0') and(addr1(3) = '0') and (addr1(4) = '0'))  then
30
         we_o1<='0';
31
      else
32
         we_o1 <= we_o;
33
end if;
34
end process;
35
address_o<=address;
36
end behavioural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.